# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2015 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, the Altera Quartus Prime License Agreement, # the Altera MegaCore Function License Agreement, or other # applicable license agreement, including, without limitation, # that your use is for the sole purpose of programming logic # devices manufactured by Altera and sold by Altera or its # authorized distributors. Please refer to the applicable # agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus Prime # Version 15.1.1 Build 189 12/02/2015 SJ Lite Edition # Date created = 21:27:30 April 10, 2016 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # lab2_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus Prime software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone IV E" set_global_assignment -name DEVICE EP4CE22F17C6 set_global_assignment -name TOP_LEVEL_ENTITY lab2 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:27:30 APRIL 10, 2016" set_global_assignment -name LAST_QUARTUS_VERSION 15.1.1 set_global_assignment -name SOURCE_FILE lab2.sv set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" set_location_assignment PIN_A11 -to leds[3] set_location_assignment PIN_B13 -to leds[2] set_location_assignment PIN_A13 -to leds[1] set_location_assignment PIN_A15 -to leds[0] set_location_assignment PIN_F13 -to p_buttons[7] set_location_assignment PIN_T15 -to p_buttons[6] set_location_assignment PIN_T13 -to p_buttons[5] set_location_assignment PIN_T12 -to p_buttons[4] set_location_assignment PIN_T11 -to p_buttons[3] set_location_assignment PIN_R11 -to p_buttons[2] set_location_assignment PIN_R10 -to p_buttons[1] set_location_assignment PIN_P9 -to p_buttons[0] set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to p_buttons set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top