// Copyright (C) 1991-2015 Altera Corporation. All rights reserved. // Your use of Altera Corporation's design tools, logic functions // and other software and tools, and its AMPP partner logic // functions, and any output files from any of the foregoing // (including device programming or simulation files), and any // associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License // Subscription Agreement, the Altera Quartus Prime License Agreement, // the Altera MegaCore Function License Agreement, or other // applicable license agreement, including, without limitation, // that your use is for the sole purpose of programming logic // devices manufactured by Altera and sold by Altera or its // authorized distributors. Please refer to the applicable // agreement for further details. // VENDOR "Altera" // PROGRAM "Quartus Prime" // VERSION "Version 15.1.1 Build 189 12/02/2015 SJ Lite Edition" // DATE "05/06/2016 14:24:23" // // Device: Altera EP4CE22F17C6 Package FBGA256 // // // This Verilog file should be used for ModelSim-Altera (SystemVerilog) only // `timescale 1 ps/ 1 ps module lab5 ( reset_n, inclk0_sig, encoder_a, encoder_b, segment_data, sel0, sel1, sel2, enable3to8, enable3to8_n, pwm_output, rom_data); input reset_n; input inclk0_sig; input encoder_a; input encoder_b; output [7:0] segment_data; output sel0; output sel1; output sel2; output enable3to8; output enable3to8_n; output pwm_output; output [7:0] rom_data; // Design Ports Information // segment_data[0] => Location: PIN_D8, I/O Standard: 2.5 V, Current Strength: Default // segment_data[1] => Location: PIN_F8, I/O Standard: 2.5 V, Current Strength: Default // segment_data[2] => Location: PIN_E9, I/O Standard: 2.5 V, Current Strength: Default // segment_data[3] => Location: PIN_D9, I/O Standard: 2.5 V, Current Strength: Default // segment_data[4] => Location: PIN_E10, I/O Standard: 2.5 V, Current Strength: Default // segment_data[5] => Location: PIN_B11, I/O Standard: 2.5 V, Current Strength: Default // segment_data[6] => Location: PIN_D11, I/O Standard: 2.5 V, Current Strength: Default // segment_data[7] => Location: PIN_B12, I/O Standard: 2.5 V, Current Strength: Default // sel0 => Location: PIN_D3, I/O Standard: 2.5 V, Current Strength: Default // sel1 => Location: PIN_C3, I/O Standard: 2.5 V, Current Strength: Default // sel2 => Location: PIN_A3, I/O Standard: 2.5 V, Current Strength: Default // enable3to8 => Location: PIN_B5, I/O Standard: 2.5 V, Current Strength: Default // enable3to8_n => Location: PIN_B4, I/O Standard: 2.5 V, Current Strength: Default // pwm_output => Location: PIN_D5, I/O Standard: 2.5 V, Current Strength: Default // rom_data[0] => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default // rom_data[1] => Location: PIN_B6, I/O Standard: 2.5 V, Current Strength: Default // rom_data[2] => Location: PIN_B7, I/O Standard: 2.5 V, Current Strength: Default // rom_data[3] => Location: PIN_A7, I/O Standard: 2.5 V, Current Strength: Default // rom_data[4] => Location: PIN_C8, I/O Standard: 2.5 V, Current Strength: Default // rom_data[5] => Location: PIN_E7, I/O Standard: 2.5 V, Current Strength: Default // rom_data[6] => Location: PIN_E8, I/O Standard: 2.5 V, Current Strength: Default // rom_data[7] => Location: PIN_F9, I/O Standard: 2.5 V, Current Strength: Default // reset_n => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default // inclk0_sig => Location: PIN_R8, I/O Standard: 2.5 V, Current Strength: Default // encoder_a => Location: PIN_T15, I/O Standard: 2.5 V, Current Strength: Default // encoder_b => Location: PIN_T13, I/O Standard: 2.5 V, Current Strength: Default wire gnd; wire vcc; wire unknown; assign gnd = 1'b0; assign vcc = 1'b1; assign unknown = 1'bx; tri1 devclrn; tri1 devpor; tri1 devoe; // synopsys translate_off initial $sdf_annotate("lab5_v.sdo"); // synopsys translate_on wire \~ALTERA_ASDO_DATA1~~ibuf_o ; wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; wire \~ALTERA_DATA0~~ibuf_o ; wire \reset_n~input_o ; wire \reset_n~inputclkctrl_outclk ; wire \inclk0_sig~input_o ; wire \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_fbout ; wire \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ; wire \debounce_cnt~0_combout ; wire \Add1~0_combout ; wire \Equal13~combout ; wire \Equal13~clkctrl_outclk ; wire \encoder_b~input_o ; wire \debounce_enc_b|jk_ff~0_combout ; wire \debounce_enc_b|jk_ff~1_combout ; wire \debounce_enc_b|jk_ff~q ; wire \quad_decode_0|quad_ff_b~feeder_combout ; wire \quad_decode_0|quad_ff_b~q ; wire \encoder_a~input_o ; wire \debounce_enc_a|shift_reg[1]~feeder_combout ; wire \debounce_enc_a|jk_ff~0_combout ; wire \debounce_enc_a|shift_reg[3]~feeder_combout ; wire \debounce_enc_a|jk_ff~1_combout ; wire \debounce_enc_a|jk_ff~q ; wire \quad_decode_0|direction~0_combout ; wire \cntr_updn_14_bit_0|Add1~0_combout ; wire \cntr_updn_14_bit_0|Add0~0_combout ; wire \cntr_updn_14_bit_0|Add0~15 ; wire \cntr_updn_14_bit_0|Add0~16_combout ; wire \cntr_updn_14_bit_0|Add1~1 ; wire \cntr_updn_14_bit_0|Add1~2_combout ; wire \cntr_updn_14_bit_0|Add0~1 ; wire \cntr_updn_14_bit_0|Add0~2_combout ; wire \cntr_updn_14_bit_0|count_out~24_combout ; wire \cntr_updn_14_bit_0|count_out~22_combout ; wire \quad_decode_0|quad_ff_a~q ; wire \quad_decode_0|cnt_enable~0_combout ; wire \cntr_updn_14_bit_0|Equal1~1_combout ; wire \cntr_updn_14_bit_0|Add1~3 ; wire \cntr_updn_14_bit_0|Add1~5 ; wire \cntr_updn_14_bit_0|Add1~7 ; wire \cntr_updn_14_bit_0|Add1~9 ; wire \cntr_updn_14_bit_0|Add1~11 ; wire \cntr_updn_14_bit_0|Add1~13 ; wire \cntr_updn_14_bit_0|Add1~15 ; wire \cntr_updn_14_bit_0|Add1~17 ; wire \cntr_updn_14_bit_0|Add1~18_combout ; wire \cntr_updn_14_bit_0|Add0~17 ; wire \cntr_updn_14_bit_0|Add0~18_combout ; wire \cntr_updn_14_bit_0|count_out~10_combout ; wire \cntr_updn_14_bit_0|Add0~19 ; wire \cntr_updn_14_bit_0|Add0~20_combout ; wire \cntr_updn_14_bit_0|Add1~19 ; wire \cntr_updn_14_bit_0|Add1~20_combout ; wire \cntr_updn_14_bit_0|count_out~8_combout ; wire \cntr_updn_14_bit_0|Add0~21 ; wire \cntr_updn_14_bit_0|Add0~22_combout ; wire \cntr_updn_14_bit_0|Add1~21 ; wire \cntr_updn_14_bit_0|Add1~22_combout ; wire \cntr_updn_14_bit_0|count_out~6_combout ; wire \cntr_updn_14_bit_0|Add0~23 ; wire \cntr_updn_14_bit_0|Add0~24_combout ; wire \cntr_updn_14_bit_0|Add1~23 ; wire \cntr_updn_14_bit_0|Add1~24_combout ; wire \cntr_updn_14_bit_0|count_out~7_combout ; wire \cntr_updn_14_bit_0|Equal0~0_combout ; wire \cntr_updn_14_bit_0|Equal0~1_combout ; wire \cntr_updn_14_bit_0|count_out~9_combout ; wire \cntr_updn_14_bit_0|Add1~16_combout ; wire \cntr_updn_14_bit_0|count_out~11_combout ; wire \cntr_updn_14_bit_0|Equal0~3_combout ; wire \cntr_updn_14_bit_0|Add0~25 ; wire \cntr_updn_14_bit_0|Add0~26_combout ; wire \cntr_updn_14_bit_0|Equal1~2_combout ; wire \cntr_updn_14_bit_0|Add1~25 ; wire \cntr_updn_14_bit_0|Add1~26_combout ; wire \cntr_updn_14_bit_0|count_out~4_combout ; wire \cntr_updn_14_bit_0|Equal0~2_combout ; wire \cntr_updn_14_bit_0|Equal0~4_combout ; wire \cntr_updn_14_bit_0|count_out~18_combout ; wire \cntr_updn_14_bit_0|count_out~19_combout ; wire \cntr_updn_14_bit_0|Equal1~0_combout ; wire \cntr_updn_14_bit_0|count_out[0]~5_combout ; wire \cntr_updn_14_bit_0|Add0~3 ; wire \cntr_updn_14_bit_0|Add0~4_combout ; wire \cntr_updn_14_bit_0|Add1~4_combout ; wire \cntr_updn_14_bit_0|count_out~20_combout ; wire \cntr_updn_14_bit_0|count_out~21_combout ; wire \cntr_updn_14_bit_0|Add0~5 ; wire \cntr_updn_14_bit_0|Add0~6_combout ; wire \cntr_updn_14_bit_0|Add1~6_combout ; wire \cntr_updn_14_bit_0|count_out~16_combout ; wire \cntr_updn_14_bit_0|count_out~17_combout ; wire \cntr_updn_14_bit_0|Add0~7 ; wire \cntr_updn_14_bit_0|Add0~8_combout ; wire \cntr_updn_14_bit_0|Add1~8_combout ; wire \cntr_updn_14_bit_0|count_out~23_combout ; wire \cntr_updn_14_bit_0|count_out~15_combout ; wire \cntr_updn_14_bit_0|Add0~9 ; wire \cntr_updn_14_bit_0|Add0~10_combout ; wire \cntr_updn_14_bit_0|Add1~10_combout ; wire \cntr_updn_14_bit_0|count_out~14_combout ; wire \cntr_updn_14_bit_0|Add0~11 ; wire \cntr_updn_14_bit_0|Add0~12_combout ; wire \cntr_updn_14_bit_0|Add1~12_combout ; wire \cntr_updn_14_bit_0|count_out~13_combout ; wire \cntr_updn_14_bit_0|Add0~13 ; wire \cntr_updn_14_bit_0|Add0~14_combout ; wire \cntr_updn_14_bit_0|Add1~14_combout ; wire \cntr_updn_14_bit_0|count_out~12_combout ; wire \bin2bcd_0|shift~32_combout ; wire \bin2bcd_0|shift~30_combout ; wire \bin2bcd_0|shift~31_combout ; wire \bin2bcd_0|shift~37_combout ; wire \bin2bcd_0|shift~36_combout ; wire \bin2bcd_0|shift~38_combout ; wire \bin2bcd_0|shift~44_combout ; wire \bin2bcd_0|shift~43_combout ; wire \bin2bcd_0|shift~45_combout ; wire \bin2bcd_0|shift~53_combout ; wire \bin2bcd_0|shift~54_combout ; wire \bin2bcd_0|shift~52_combout ; wire \bin2bcd_0|shift~61_combout ; wire \bin2bcd_0|shift~60_combout ; wire \bin2bcd_0|shift~59_combout ; wire \bin2bcd_0|shift~70_combout ; wire \bin2bcd_0|shift~69_combout ; wire \bin2bcd_0|shift~68_combout ; wire \bin2bcd_0|shift~77_combout ; wire \bin2bcd_0|shift~76_combout ; wire \bin2bcd_0|shift~75_combout ; wire \bin2bcd_0|shift~92_combout ; wire \bin2bcd_0|shift~94_combout ; wire \bin2bcd_0|shift~93_combout ; wire \bin2bcd_0|shift~98_combout ; wire \bin2bcd_0|shift~78_combout ; wire \bin2bcd_0|shift~34_combout ; wire \bin2bcd_0|shift~33_combout ; wire \bin2bcd_0|LessThan0~0_combout ; wire \bin2bcd_0|shift~41_combout ; wire \bin2bcd_0|shift~35_combout ; wire \bin2bcd_0|shift~39_combout ; wire \bin2bcd_0|shift~40_combout ; wire \bin2bcd_0|shift~42_combout ; wire \bin2bcd_0|shift~47_combout ; wire \bin2bcd_0|shift~48_combout ; wire \bin2bcd_0|LessThan6~0_combout ; wire \bin2bcd_0|shift~49_combout ; wire \bin2bcd_0|shift~50_combout ; wire \bin2bcd_0|shift~46_combout ; wire \bin2bcd_0|shift~57_combout ; wire \bin2bcd_0|shift~51_combout ; wire \bin2bcd_0|shift~56_combout ; wire \bin2bcd_0|shift~55_combout ; wire \bin2bcd_0|shift~64_combout ; wire \bin2bcd_0|shift~63_combout ; wire \bin2bcd_0|shift~58_combout ; wire \bin2bcd_0|shift~62_combout ; wire \bin2bcd_0|shift~67_combout ; wire \bin2bcd_0|shift~66_combout ; wire \bin2bcd_0|shift~65_combout ; wire \bin2bcd_0|shift~71_combout ; wire \bin2bcd_0|shift~72_combout ; wire \bin2bcd_0|shift~73_combout ; wire \bin2bcd_0|shift~74_combout ; wire \bin2bcd_0|shift~101_combout ; wire \bin2bcd_0|shift~99_combout ; wire \bin2bcd_0|shift~100_combout ; wire \bin2bcd_0|tens[3]~2_combout ; wire \bin2bcd_0|shift~107_combout ; wire \bin2bcd_0|Add22~0_combout ; wire \bin2bcd_0|shift~96_combout ; wire \digit_clk~0_combout ; wire \digit_clk~q ; wire \digit_clk~clkctrl_outclk ; wire \Equal2~0_combout ; wire \Equal1~0_combout ; wire \Equal1~1_combout ; wire \Equal2~1_combout ; wire \Selector2~2_combout ; wire \bin2bcd_0|shift~108_combout ; wire \bin2bcd_0|LessThan4~0_combout ; wire \bin2bcd_0|shift~81_combout ; wire \bin2bcd_0|shift~109_combout ; wire \bin2bcd_0|shift~84_combout ; wire \bin2bcd_0|shift~85_combout ; wire \bin2bcd_0|shift~82_combout ; wire \bin2bcd_0|shift~83_combout ; wire \bin2bcd_0|shift~88_combout ; wire \bin2bcd_0|shift~87_combout ; wire \bin2bcd_0|shift~86_combout ; wire \bin2bcd_0|shift~80_combout ; wire \bin2bcd_0|shift~91_combout ; wire \bin2bcd_0|shift~90_combout ; wire \bin2bcd_0|shift~89_combout ; wire \bin2bcd_0|shift~79_combout ; wire \bin2bcd_0|hundreds[3]~2_combout ; wire \Selector0~1_combout ; wire \bin2bcd_0|shift~95_combout ; wire \bin2bcd_0|Add22~1_combout ; wire \Selector0~2_combout ; wire \Equal3~0_combout ; wire \bin2bcd_0|shift~3_combout ; wire \bin2bcd_0|shift~110_combout ; wire \bin2bcd_0|shift~104_combout ; wire \bin2bcd_0|shift~103_combout ; wire \bin2bcd_0|shift~105_combout ; wire \bin2bcd_0|shift~106_combout ; wire \bin2bcd_0|shift~102_combout ; wire \Selector0~0_combout ; wire \Selector0~3_combout ; wire \Selector3~2_combout ; wire \Selector3~6_combout ; wire \Selector3~4_combout ; wire \bin2bcd_0|shift~97_combout ; wire \bin2bcd_0|tens[0]~0_combout ; wire \Selector3~3_combout ; wire \Selector3~5_combout ; wire \bin2bcd_0|tens[2]~1_combout ; wire \bin2bcd_0|hundreds[2]~1_combout ; wire \Selector1~0_combout ; wire \Selector1~1_combout ; wire \bin2bcd_0|thousands[2]~1_combout ; wire \Selector1~2_combout ; wire \Selector2~3_combout ; wire \bin2bcd_0|hundreds[1]~0_combout ; wire \Selector2~4_combout ; wire \Selector2~6_combout ; wire \bin2bcd_0|thousands[1]~0_combout ; wire \Selector2~5_combout ; wire \bcd2_7seg_0|WideOr7~0_combout ; wire \bcd2_7seg_0|WideOr5~0_combout ; wire \bcd2_7seg_0|WideOr3~0_combout ; wire \bcd2_7seg_0|WideOr2~0_combout ; wire \bcd2_7seg_0|Decoder1~0_combout ; wire \bcd2_7seg_0|segment_data[6]~0_combout ; wire \bcd2_7seg_0|segment_data[7]~1_combout ; wire \enable3to8~0_combout ; wire \enable3to8~1_combout ; wire \enable3to8~2_combout ; wire \enable3to8~3_combout ; wire \Equal12~0_combout ; wire \enable3to8~4_combout ; wire \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ; wire \rom_addr[0]~24_combout ; wire \rom_addr[0]~25 ; wire \rom_addr[1]~26_combout ; wire \rom_addr[1]~27 ; wire \rom_addr[2]~28_combout ; wire \rom_addr[2]~29 ; wire \rom_addr[3]~30_combout ; wire \rom_addr[3]~31 ; wire \rom_addr[4]~32_combout ; wire \rom_addr[4]~33 ; wire \rom_addr[5]~34_combout ; wire \rom_addr[5]~35 ; wire \rom_addr[6]~36_combout ; wire \rom_addr[6]~37 ; wire \rom_addr[7]~38_combout ; wire \rom_addr[7]~39 ; wire \rom_addr[8]~40_combout ; wire \rom_addr[8]~41 ; wire \rom_addr[9]~42_combout ; wire \rom_addr[9]~43 ; wire \rom_addr[10]~44_combout ; wire \rom_addr[10]~45 ; wire \rom_addr[11]~46_combout ; wire \rom_addr[11]~47 ; wire \rom_addr[12]~48_combout ; wire \rom_addr[12]~49 ; wire \rom_addr[13]~50_combout ; wire \rom_addr[13]~51 ; wire \rom_addr[14]~52_combout ; wire \rom_addr[14]~53 ; wire \rom_addr[15]~54_combout ; wire \rom_addr[15]~55 ; wire \rom_addr[16]~56_combout ; wire \rom_addr[16]~57 ; wire \rom_addr[17]~58_combout ; wire \rom_addr[17]~59 ; wire \rom_addr[18]~60_combout ; wire \rom_addr[18]~61 ; wire \rom_addr[19]~62_combout ; wire \rom_addr[19]~63 ; wire \rom_addr[20]~64_combout ; wire \rom_addr[20]~65 ; wire \rom_addr[21]~66_combout ; wire \rom_addr[21]~67 ; wire \rom_addr[22]~68_combout ; wire \rom_addr[22]~69 ; wire \rom_addr[23]~70_combout ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0~portadataout ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a1 ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a2 ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a3 ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4~portadataout ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a5 ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a6 ; wire \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a7 ; wire [3:0] \debounce_enc_b|shift_reg ; wire [3:0] \debounce_enc_a|shift_reg ; wire [2:0] digit_seq_ps; wire [13:0] \cntr_updn_14_bit_0|count_out ; wire [1:0] debounce_cnt; wire [23:0] rom_addr; wire [4:0] \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk ; wire [3:0] \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus ; wire [3:0] \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus ; wire [4:0] \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus ; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0~portadataout = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [0]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a1 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [1]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a2 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [2]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a3 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [3]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4~portadataout = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus [0]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a5 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus [1]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a6 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus [2]; assign \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a7 = \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus [3]; assign \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [0] = \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus [0]; assign \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [1] = \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus [1]; assign \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [2] = \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus [2]; assign \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [3] = \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus [3]; assign \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [4] = \pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus [4]; // Location: IOOBUF_X23_Y34_N23 cycloneive_io_obuf \segment_data[0]~output ( .i(vcc), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[0]), .obar()); // synopsys translate_off defparam \segment_data[0]~output .bus_hold = "false"; defparam \segment_data[0]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X20_Y34_N16 cycloneive_io_obuf \segment_data[1]~output ( .i(!\bcd2_7seg_0|WideOr7~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[1]), .obar()); // synopsys translate_off defparam \segment_data[1]~output .bus_hold = "false"; defparam \segment_data[1]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X29_Y34_N16 cycloneive_io_obuf \segment_data[2]~output ( .i(\bcd2_7seg_0|WideOr5~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[2]), .obar()); // synopsys translate_off defparam \segment_data[2]~output .bus_hold = "false"; defparam \segment_data[2]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X31_Y34_N9 cycloneive_io_obuf \segment_data[3]~output ( .i(\bcd2_7seg_0|WideOr3~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[3]), .obar()); // synopsys translate_off defparam \segment_data[3]~output .bus_hold = "false"; defparam \segment_data[3]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X45_Y34_N16 cycloneive_io_obuf \segment_data[4]~output ( .i(\bcd2_7seg_0|WideOr2~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[4]), .obar()); // synopsys translate_off defparam \segment_data[4]~output .bus_hold = "false"; defparam \segment_data[4]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X40_Y34_N9 cycloneive_io_obuf \segment_data[5]~output ( .i(\bcd2_7seg_0|Decoder1~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[5]), .obar()); // synopsys translate_off defparam \segment_data[5]~output .bus_hold = "false"; defparam \segment_data[5]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X51_Y34_N16 cycloneive_io_obuf \segment_data[6]~output ( .i(\bcd2_7seg_0|segment_data[6]~0_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[6]), .obar()); // synopsys translate_off defparam \segment_data[6]~output .bus_hold = "false"; defparam \segment_data[6]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X43_Y34_N23 cycloneive_io_obuf \segment_data[7]~output ( .i(\bcd2_7seg_0|segment_data[7]~1_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(segment_data[7]), .obar()); // synopsys translate_off defparam \segment_data[7]~output .bus_hold = "false"; defparam \segment_data[7]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X1_Y34_N9 cycloneive_io_obuf \sel0~output ( .i(digit_seq_ps[0]), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(sel0), .obar()); // synopsys translate_off defparam \sel0~output .bus_hold = "false"; defparam \sel0~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X1_Y34_N2 cycloneive_io_obuf \sel1~output ( .i(digit_seq_ps[1]), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(sel1), .obar()); // synopsys translate_off defparam \sel1~output .bus_hold = "false"; defparam \sel1~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X7_Y34_N16 cycloneive_io_obuf \sel2~output ( .i(digit_seq_ps[2]), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(sel2), .obar()); // synopsys translate_off defparam \sel2~output .bus_hold = "false"; defparam \sel2~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X11_Y34_N2 cycloneive_io_obuf \enable3to8~output ( .i(!\enable3to8~4_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(enable3to8), .obar()); // synopsys translate_off defparam \enable3to8~output .bus_hold = "false"; defparam \enable3to8~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X7_Y34_N2 cycloneive_io_obuf \enable3to8_n~output ( .i(gnd), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(enable3to8_n), .obar()); // synopsys translate_off defparam \enable3to8_n~output .bus_hold = "false"; defparam \enable3to8_n~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X5_Y34_N16 cycloneive_io_obuf \pwm_output~output ( .i(gnd), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(pwm_output), .obar()); // synopsys translate_off defparam \pwm_output~output .bus_hold = "false"; defparam \pwm_output~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X14_Y34_N23 cycloneive_io_obuf \rom_data[0]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0~portadataout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[0]), .obar()); // synopsys translate_off defparam \rom_data[0]~output .bus_hold = "false"; defparam \rom_data[0]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X16_Y34_N9 cycloneive_io_obuf \rom_data[1]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a1 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[1]), .obar()); // synopsys translate_off defparam \rom_data[1]~output .bus_hold = "false"; defparam \rom_data[1]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X18_Y34_N2 cycloneive_io_obuf \rom_data[2]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a2 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[2]), .obar()); // synopsys translate_off defparam \rom_data[2]~output .bus_hold = "false"; defparam \rom_data[2]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X20_Y34_N23 cycloneive_io_obuf \rom_data[3]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a3 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[3]), .obar()); // synopsys translate_off defparam \rom_data[3]~output .bus_hold = "false"; defparam \rom_data[3]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X23_Y34_N16 cycloneive_io_obuf \rom_data[4]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4~portadataout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[4]), .obar()); // synopsys translate_off defparam \rom_data[4]~output .bus_hold = "false"; defparam \rom_data[4]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X16_Y34_N16 cycloneive_io_obuf \rom_data[5]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a5 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[5]), .obar()); // synopsys translate_off defparam \rom_data[5]~output .bus_hold = "false"; defparam \rom_data[5]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X20_Y34_N9 cycloneive_io_obuf \rom_data[6]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a6 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[6]), .obar()); // synopsys translate_off defparam \rom_data[6]~output .bus_hold = "false"; defparam \rom_data[6]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOOBUF_X34_Y34_N2 cycloneive_io_obuf \rom_data[7]~output ( .i(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a7 ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), .o(rom_data[7]), .obar()); // synopsys translate_off defparam \rom_data[7]~output .bus_hold = "false"; defparam \rom_data[7]~output .open_drain_output = "false"; // synopsys translate_on // Location: IOIBUF_X0_Y16_N8 cycloneive_io_ibuf \reset_n~input ( .i(reset_n), .ibar(gnd), .o(\reset_n~input_o )); // synopsys translate_off defparam \reset_n~input .bus_hold = "false"; defparam \reset_n~input .simulate_z_as = "z"; // synopsys translate_on // Location: CLKCTRL_G2 cycloneive_clkctrl \reset_n~inputclkctrl ( .ena(vcc), .inclk({vcc,vcc,vcc,\reset_n~input_o }), .clkselect(2'b00), .devclrn(devclrn), .devpor(devpor), .outclk(\reset_n~inputclkctrl_outclk )); // synopsys translate_off defparam \reset_n~inputclkctrl .clock_type = "global clock"; defparam \reset_n~inputclkctrl .ena_register_mode = "none"; // synopsys translate_on // Location: IOIBUF_X27_Y0_N22 cycloneive_io_ibuf \inclk0_sig~input ( .i(inclk0_sig), .ibar(gnd), .o(\inclk0_sig~input_o )); // synopsys translate_off defparam \inclk0_sig~input .bus_hold = "false"; defparam \inclk0_sig~input .simulate_z_as = "z"; // synopsys translate_on // Location: PLL_4 cycloneive_pll \pll_lab5_inst|altpll_component|auto_generated|pll1 ( .areset(!\reset_n~inputclkctrl_outclk ), .pfdena(vcc), .fbin(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_fbout ), .phaseupdown(gnd), .phasestep(gnd), .scandata(gnd), .scanclk(gnd), .scanclkena(vcc), .configupdate(gnd), .clkswitch(gnd), .inclk({gnd,\inclk0_sig~input_o }), .phasecounterselect(3'b000), .phasedone(), .scandataout(), .scandone(), .activeclock(), .locked(), .vcooverrange(), .vcounderrange(), .fbout(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_fbout ), .clk(\pll_lab5_inst|altpll_component|auto_generated|pll1_CLK_bus ), .clkbad()); // synopsys translate_off defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .auto_settings = "false"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .bandwidth_type = "medium"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c0_high = 187; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c0_initial = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c0_low = 188; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c0_mode = "odd"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c0_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_high = 213; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_initial = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_low = 212; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_mode = "odd"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c1_use_casc_in = "on"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_high = 19; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_initial = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_low = 19; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_mode = "even"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c2_use_casc_in = "off"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_high = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_initial = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_low = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_mode = "bypass"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c3_use_casc_in = "off"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_high = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_initial = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_low = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_mode = "bypass"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .c4_use_casc_in = "off"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .charge_pump_current_bits = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk0_counter = "unused"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk0_divide_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk0_duty_cycle = 50; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk0_multiply_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk0_phase_shift = "0"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk1_counter = "c1"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk1_divide_by = 12500; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk1_duty_cycle = 50; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk1_multiply_by = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk1_phase_shift = "0"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk2_counter = "c2"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk2_divide_by = 152; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk2_duty_cycle = 50; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk2_multiply_by = 51; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk2_phase_shift = "0"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk3_counter = "unused"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk3_divide_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk3_duty_cycle = 50; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk3_multiply_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk3_phase_shift = "0"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk4_counter = "unused"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk4_divide_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk4_duty_cycle = 50; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk4_multiply_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .clk4_phase_shift = "0"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .compensate_clock = "clock2"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .inclk0_input_frequency = 20000; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .inclk1_input_frequency = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .loop_filter_c_bits = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .loop_filter_r_bits = 19; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .m = 51; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .m_initial = 1; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .m_ph = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .n = 4; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .operation_mode = "normal"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .pfd_max = 200000; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .pfd_min = 3076; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .self_reset_on_loss_lock = "off"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .simulation_type = "timing"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .switch_over_type = "auto"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_center = 1538; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_divide_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_frequency_control = "auto"; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_max = 3333; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_min = 1538; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_multiply_by = 0; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_phase_shift_step = 196; defparam \pll_lab5_inst|altpll_component|auto_generated|pll1 .vco_post_scale = 2; // synopsys translate_on // Location: CLKCTRL_G19 cycloneive_clkctrl \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl ( .ena(vcc), .inclk({vcc,vcc,vcc,\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [1]}), .clkselect(2'b00), .devclrn(devclrn), .devpor(devpor), .outclk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk )); // synopsys translate_off defparam \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .clock_type = "global clock"; defparam \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl .ena_register_mode = "none"; // synopsys translate_on // Location: LCCOMB_X52_Y17_N28 cycloneive_lcell_comb \debounce_cnt~0 ( // Equation(s): // \debounce_cnt~0_combout = (!debounce_cnt[0] & !\Equal13~combout ) .dataa(gnd), .datab(gnd), .datac(debounce_cnt[0]), .datad(\Equal13~combout ), .cin(gnd), .combout(\debounce_cnt~0_combout ), .cout()); // synopsys translate_off defparam \debounce_cnt~0 .lut_mask = 16'h000F; defparam \debounce_cnt~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X52_Y17_N29 dffeas \debounce_cnt[0] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), .d(\debounce_cnt~0_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(debounce_cnt[0]), .prn(vcc)); // synopsys translate_off defparam \debounce_cnt[0] .is_wysiwyg = "true"; defparam \debounce_cnt[0] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X52_Y17_N4 cycloneive_lcell_comb \Add1~0 ( // Equation(s): // \Add1~0_combout = debounce_cnt[0] $ (debounce_cnt[1]) .dataa(debounce_cnt[0]), .datab(gnd), .datac(debounce_cnt[1]), .datad(gnd), .cin(gnd), .combout(\Add1~0_combout ), .cout()); // synopsys translate_off defparam \Add1~0 .lut_mask = 16'h5A5A; defparam \Add1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X52_Y17_N5 dffeas \debounce_cnt[1] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), .d(\Add1~0_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(\Equal13~combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(debounce_cnt[1]), .prn(vcc)); // synopsys translate_off defparam \debounce_cnt[1] .is_wysiwyg = "true"; defparam \debounce_cnt[1] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X52_Y17_N14 cycloneive_lcell_comb Equal13( // Equation(s): // \Equal13~combout = LCELL((debounce_cnt[1] & debounce_cnt[0])) .dataa(gnd), .datab(gnd), .datac(debounce_cnt[1]), .datad(debounce_cnt[0]), .cin(gnd), .combout(\Equal13~combout ), .cout()); // synopsys translate_off defparam Equal13.lut_mask = 16'hF000; defparam Equal13.sum_lutc_input = "datac"; // synopsys translate_on // Location: CLKCTRL_G6 cycloneive_clkctrl \Equal13~clkctrl ( .ena(vcc), .inclk({vcc,vcc,vcc,\Equal13~combout }), .clkselect(2'b00), .devclrn(devclrn), .devpor(devpor), .outclk(\Equal13~clkctrl_outclk )); // synopsys translate_off defparam \Equal13~clkctrl .clock_type = "global clock"; defparam \Equal13~clkctrl .ena_register_mode = "none"; // synopsys translate_on // Location: IOIBUF_X40_Y0_N15 cycloneive_io_ibuf \encoder_b~input ( .i(encoder_b), .ibar(gnd), .o(\encoder_b~input_o )); // synopsys translate_off defparam \encoder_b~input .bus_hold = "false"; defparam \encoder_b~input .simulate_z_as = "z"; // synopsys translate_on // Location: FF_X17_Y28_N29 dffeas \debounce_enc_b|shift_reg[0] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\encoder_b~input_o ), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_b|shift_reg [0]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_b|shift_reg[0] .is_wysiwyg = "true"; defparam \debounce_enc_b|shift_reg[0] .power_up = "low"; // synopsys translate_on // Location: FF_X17_Y28_N9 dffeas \debounce_enc_b|shift_reg[1] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\debounce_enc_b|shift_reg [0]), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_b|shift_reg [1]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_b|shift_reg[1] .is_wysiwyg = "true"; defparam \debounce_enc_b|shift_reg[1] .power_up = "low"; // synopsys translate_on // Location: FF_X17_Y28_N23 dffeas \debounce_enc_b|shift_reg[2] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\debounce_enc_b|shift_reg [1]), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_b|shift_reg [2]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_b|shift_reg[2] .is_wysiwyg = "true"; defparam \debounce_enc_b|shift_reg[2] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N28 cycloneive_lcell_comb \debounce_enc_b|jk_ff~0 ( // Equation(s): // \debounce_enc_b|jk_ff~0_combout = (\debounce_enc_b|shift_reg [2] & (\debounce_enc_b|shift_reg [1] & \debounce_enc_b|shift_reg [0])) # (!\debounce_enc_b|shift_reg [2] & ((\debounce_enc_b|shift_reg [1]) # (\debounce_enc_b|shift_reg [0]))) .dataa(\debounce_enc_b|shift_reg [2]), .datab(\debounce_enc_b|shift_reg [1]), .datac(\debounce_enc_b|shift_reg [0]), .datad(gnd), .cin(gnd), .combout(\debounce_enc_b|jk_ff~0_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_b|jk_ff~0 .lut_mask = 16'hD4D4; defparam \debounce_enc_b|jk_ff~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N19 dffeas \debounce_enc_b|shift_reg[3] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\debounce_enc_b|shift_reg [2]), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_b|shift_reg [3]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_b|shift_reg[3] .is_wysiwyg = "true"; defparam \debounce_enc_b|shift_reg[3] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N24 cycloneive_lcell_comb \debounce_enc_b|jk_ff~1 ( // Equation(s): // \debounce_enc_b|jk_ff~1_combout = (\debounce_enc_b|shift_reg [2] & ((\debounce_enc_b|jk_ff~q ) # ((\debounce_enc_b|jk_ff~0_combout & \debounce_enc_b|shift_reg [3])))) # (!\debounce_enc_b|shift_reg [2] & (\debounce_enc_b|jk_ff~q & // ((\debounce_enc_b|jk_ff~0_combout ) # (\debounce_enc_b|shift_reg [3])))) .dataa(\debounce_enc_b|shift_reg [2]), .datab(\debounce_enc_b|jk_ff~0_combout ), .datac(\debounce_enc_b|jk_ff~q ), .datad(\debounce_enc_b|shift_reg [3]), .cin(gnd), .combout(\debounce_enc_b|jk_ff~1_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_b|jk_ff~1 .lut_mask = 16'hF8E0; defparam \debounce_enc_b|jk_ff~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N25 dffeas \debounce_enc_b|jk_ff ( .clk(\Equal13~clkctrl_outclk ), .d(\debounce_enc_b|jk_ff~1_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_b|jk_ff~q ), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_b|jk_ff .is_wysiwyg = "true"; defparam \debounce_enc_b|jk_ff .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N20 cycloneive_lcell_comb \quad_decode_0|quad_ff_b~feeder ( // Equation(s): // \quad_decode_0|quad_ff_b~feeder_combout = \debounce_enc_b|jk_ff~q .dataa(gnd), .datab(gnd), .datac(gnd), .datad(\debounce_enc_b|jk_ff~q ), .cin(gnd), .combout(\quad_decode_0|quad_ff_b~feeder_combout ), .cout()); // synopsys translate_off defparam \quad_decode_0|quad_ff_b~feeder .lut_mask = 16'hFF00; defparam \quad_decode_0|quad_ff_b~feeder .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N21 dffeas \quad_decode_0|quad_ff_b ( .clk(\Equal13~clkctrl_outclk ), .d(\quad_decode_0|quad_ff_b~feeder_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\quad_decode_0|quad_ff_b~q ), .prn(vcc)); // synopsys translate_off defparam \quad_decode_0|quad_ff_b .is_wysiwyg = "true"; defparam \quad_decode_0|quad_ff_b .power_up = "low"; // synopsys translate_on // Location: IOIBUF_X45_Y0_N15 cycloneive_io_ibuf \encoder_a~input ( .i(encoder_a), .ibar(gnd), .o(\encoder_a~input_o )); // synopsys translate_off defparam \encoder_a~input .bus_hold = "false"; defparam \encoder_a~input .simulate_z_as = "z"; // synopsys translate_on // Location: FF_X17_Y27_N21 dffeas \debounce_enc_a|shift_reg[0] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\encoder_a~input_o ), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_a|shift_reg [0]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_a|shift_reg[0] .is_wysiwyg = "true"; defparam \debounce_enc_a|shift_reg[0] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y27_N22 cycloneive_lcell_comb \debounce_enc_a|shift_reg[1]~feeder ( // Equation(s): // \debounce_enc_a|shift_reg[1]~feeder_combout = \debounce_enc_a|shift_reg [0] .dataa(gnd), .datab(gnd), .datac(gnd), .datad(\debounce_enc_a|shift_reg [0]), .cin(gnd), .combout(\debounce_enc_a|shift_reg[1]~feeder_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_a|shift_reg[1]~feeder .lut_mask = 16'hFF00; defparam \debounce_enc_a|shift_reg[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y27_N23 dffeas \debounce_enc_a|shift_reg[1] ( .clk(\Equal13~clkctrl_outclk ), .d(\debounce_enc_a|shift_reg[1]~feeder_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_a|shift_reg [1]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_a|shift_reg[1] .is_wysiwyg = "true"; defparam \debounce_enc_a|shift_reg[1] .power_up = "low"; // synopsys translate_on // Location: FF_X17_Y27_N17 dffeas \debounce_enc_a|shift_reg[2] ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\debounce_enc_a|shift_reg [1]), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_a|shift_reg [2]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_a|shift_reg[2] .is_wysiwyg = "true"; defparam \debounce_enc_a|shift_reg[2] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y27_N20 cycloneive_lcell_comb \debounce_enc_a|jk_ff~0 ( // Equation(s): // \debounce_enc_a|jk_ff~0_combout = (\debounce_enc_a|shift_reg [1] & ((\debounce_enc_a|shift_reg [0]) # (!\debounce_enc_a|shift_reg [2]))) # (!\debounce_enc_a|shift_reg [1] & (\debounce_enc_a|shift_reg [0] & !\debounce_enc_a|shift_reg [2])) .dataa(\debounce_enc_a|shift_reg [1]), .datab(gnd), .datac(\debounce_enc_a|shift_reg [0]), .datad(\debounce_enc_a|shift_reg [2]), .cin(gnd), .combout(\debounce_enc_a|jk_ff~0_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_a|jk_ff~0 .lut_mask = 16'hA0FA; defparam \debounce_enc_a|jk_ff~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X17_Y27_N18 cycloneive_lcell_comb \debounce_enc_a|shift_reg[3]~feeder ( // Equation(s): // \debounce_enc_a|shift_reg[3]~feeder_combout = \debounce_enc_a|shift_reg [2] .dataa(gnd), .datab(gnd), .datac(gnd), .datad(\debounce_enc_a|shift_reg [2]), .cin(gnd), .combout(\debounce_enc_a|shift_reg[3]~feeder_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_a|shift_reg[3]~feeder .lut_mask = 16'hFF00; defparam \debounce_enc_a|shift_reg[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y27_N19 dffeas \debounce_enc_a|shift_reg[3] ( .clk(\Equal13~clkctrl_outclk ), .d(\debounce_enc_a|shift_reg[3]~feeder_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_a|shift_reg [3]), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_a|shift_reg[3] .is_wysiwyg = "true"; defparam \debounce_enc_a|shift_reg[3] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y27_N0 cycloneive_lcell_comb \debounce_enc_a|jk_ff~1 ( // Equation(s): // \debounce_enc_a|jk_ff~1_combout = (\debounce_enc_a|jk_ff~0_combout & ((\debounce_enc_a|jk_ff~q ) # ((\debounce_enc_a|shift_reg [3] & \debounce_enc_a|shift_reg [2])))) # (!\debounce_enc_a|jk_ff~0_combout & (\debounce_enc_a|jk_ff~q & // ((\debounce_enc_a|shift_reg [3]) # (\debounce_enc_a|shift_reg [2])))) .dataa(\debounce_enc_a|jk_ff~0_combout ), .datab(\debounce_enc_a|shift_reg [3]), .datac(\debounce_enc_a|jk_ff~q ), .datad(\debounce_enc_a|shift_reg [2]), .cin(gnd), .combout(\debounce_enc_a|jk_ff~1_combout ), .cout()); // synopsys translate_off defparam \debounce_enc_a|jk_ff~1 .lut_mask = 16'hF8E0; defparam \debounce_enc_a|jk_ff~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y27_N1 dffeas \debounce_enc_a|jk_ff ( .clk(\Equal13~clkctrl_outclk ), .d(\debounce_enc_a|jk_ff~1_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\debounce_enc_a|jk_ff~q ), .prn(vcc)); // synopsys translate_off defparam \debounce_enc_a|jk_ff .is_wysiwyg = "true"; defparam \debounce_enc_a|jk_ff .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N18 cycloneive_lcell_comb \quad_decode_0|direction~0 ( // Equation(s): // \quad_decode_0|direction~0_combout = \quad_decode_0|quad_ff_b~q $ (\debounce_enc_a|jk_ff~q ) .dataa(gnd), .datab(\quad_decode_0|quad_ff_b~q ), .datac(gnd), .datad(\debounce_enc_a|jk_ff~q ), .cin(gnd), .combout(\quad_decode_0|direction~0_combout ), .cout()); // synopsys translate_off defparam \quad_decode_0|direction~0 .lut_mask = 16'h33CC; defparam \quad_decode_0|direction~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N4 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~0 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~0_combout = \cntr_updn_14_bit_0|count_out [0] $ (VCC) // \cntr_updn_14_bit_0|Add1~1 = CARRY(\cntr_updn_14_bit_0|count_out [0]) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [0]), .datac(gnd), .datad(vcc), .cin(gnd), .combout(\cntr_updn_14_bit_0|Add1~0_combout ), .cout(\cntr_updn_14_bit_0|Add1~1 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~0 .lut_mask = 16'h33CC; defparam \cntr_updn_14_bit_0|Add1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N0 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~0 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~0_combout = \cntr_updn_14_bit_0|count_out [0] $ (VCC) // \cntr_updn_14_bit_0|Add0~1 = CARRY(\cntr_updn_14_bit_0|count_out [0]) .dataa(\cntr_updn_14_bit_0|count_out [0]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(gnd), .combout(\cntr_updn_14_bit_0|Add0~0_combout ), .cout(\cntr_updn_14_bit_0|Add0~1 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~0 .lut_mask = 16'h55AA; defparam \cntr_updn_14_bit_0|Add0~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N14 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~14 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~14_combout = (\cntr_updn_14_bit_0|count_out [7] & ((\cntr_updn_14_bit_0|Add0~13 ) # (GND))) # (!\cntr_updn_14_bit_0|count_out [7] & (!\cntr_updn_14_bit_0|Add0~13 )) // \cntr_updn_14_bit_0|Add0~15 = CARRY((\cntr_updn_14_bit_0|count_out [7]) # (!\cntr_updn_14_bit_0|Add0~13 )) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~13 ), .combout(\cntr_updn_14_bit_0|Add0~14_combout ), .cout(\cntr_updn_14_bit_0|Add0~15 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~14 .lut_mask = 16'hA5AF; defparam \cntr_updn_14_bit_0|Add0~14 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N16 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~16 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~16_combout = (\cntr_updn_14_bit_0|count_out [8] & (!\cntr_updn_14_bit_0|Add0~15 & VCC)) # (!\cntr_updn_14_bit_0|count_out [8] & (\cntr_updn_14_bit_0|Add0~15 $ (GND))) // \cntr_updn_14_bit_0|Add0~17 = CARRY((!\cntr_updn_14_bit_0|count_out [8] & !\cntr_updn_14_bit_0|Add0~15 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~15 ), .combout(\cntr_updn_14_bit_0|Add0~16_combout ), .cout(\cntr_updn_14_bit_0|Add0~17 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~16 .lut_mask = 16'h3C03; defparam \cntr_updn_14_bit_0|Add0~16 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N6 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~2 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~2_combout = (\cntr_updn_14_bit_0|count_out [1] & (\cntr_updn_14_bit_0|Add1~1 & VCC)) # (!\cntr_updn_14_bit_0|count_out [1] & (!\cntr_updn_14_bit_0|Add1~1 )) // \cntr_updn_14_bit_0|Add1~3 = CARRY((!\cntr_updn_14_bit_0|count_out [1] & !\cntr_updn_14_bit_0|Add1~1 )) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~1 ), .combout(\cntr_updn_14_bit_0|Add1~2_combout ), .cout(\cntr_updn_14_bit_0|Add1~3 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~2 .lut_mask = 16'hA505; defparam \cntr_updn_14_bit_0|Add1~2 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N2 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~2 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~2_combout = (\cntr_updn_14_bit_0|count_out [1] & (!\cntr_updn_14_bit_0|Add0~1 )) # (!\cntr_updn_14_bit_0|count_out [1] & ((\cntr_updn_14_bit_0|Add0~1 ) # (GND))) // \cntr_updn_14_bit_0|Add0~3 = CARRY((!\cntr_updn_14_bit_0|Add0~1 ) # (!\cntr_updn_14_bit_0|count_out [1])) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [1]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~1 ), .combout(\cntr_updn_14_bit_0|Add0~2_combout ), .cout(\cntr_updn_14_bit_0|Add0~3 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~2 .lut_mask = 16'h3C3F; defparam \cntr_updn_14_bit_0|Add0~2 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N26 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~24 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~24_combout = (\debounce_enc_a|jk_ff~q & ((\quad_decode_0|quad_ff_b~q & (\cntr_updn_14_bit_0|Add1~2_combout )) # (!\quad_decode_0|quad_ff_b~q & ((\cntr_updn_14_bit_0|Add0~2_combout ))))) # (!\debounce_enc_a|jk_ff~q & // ((\quad_decode_0|quad_ff_b~q & ((\cntr_updn_14_bit_0|Add0~2_combout ))) # (!\quad_decode_0|quad_ff_b~q & (\cntr_updn_14_bit_0|Add1~2_combout )))) .dataa(\debounce_enc_a|jk_ff~q ), .datab(\quad_decode_0|quad_ff_b~q ), .datac(\cntr_updn_14_bit_0|Add1~2_combout ), .datad(\cntr_updn_14_bit_0|Add0~2_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~24_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~24 .lut_mask = 16'hF690; defparam \cntr_updn_14_bit_0|count_out~24 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N10 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~22 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~22_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & ((\cntr_updn_14_bit_0|count_out~24_combout ) # ((\cntr_updn_14_bit_0|Equal0~4_combout & \quad_decode_0|direction~0_combout )))) .dataa(\cntr_updn_14_bit_0|Equal0~4_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|count_out~24_combout ), .datad(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~22_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~22 .lut_mask = 16'h00F8; defparam \cntr_updn_14_bit_0|count_out~22 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N31 dffeas \quad_decode_0|quad_ff_a ( .clk(\Equal13~clkctrl_outclk ), .d(gnd), .asdata(\debounce_enc_a|jk_ff~q ), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\quad_decode_0|quad_ff_a~q ), .prn(vcc)); // synopsys translate_off defparam \quad_decode_0|quad_ff_a .is_wysiwyg = "true"; defparam \quad_decode_0|quad_ff_a .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N30 cycloneive_lcell_comb \quad_decode_0|cnt_enable~0 ( // Equation(s): // \quad_decode_0|cnt_enable~0_combout = \debounce_enc_a|jk_ff~q $ (\quad_decode_0|quad_ff_b~q $ (\quad_decode_0|quad_ff_a~q $ (\debounce_enc_b|jk_ff~q ))) .dataa(\debounce_enc_a|jk_ff~q ), .datab(\quad_decode_0|quad_ff_b~q ), .datac(\quad_decode_0|quad_ff_a~q ), .datad(\debounce_enc_b|jk_ff~q ), .cin(gnd), .combout(\quad_decode_0|cnt_enable~0_combout ), .cout()); // synopsys translate_off defparam \quad_decode_0|cnt_enable~0 .lut_mask = 16'h6996; defparam \quad_decode_0|cnt_enable~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N11 dffeas \cntr_updn_14_bit_0|count_out[1] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~22_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [1]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[1] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[1] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N0 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal1~1 ( // Equation(s): // \cntr_updn_14_bit_0|Equal1~1_combout = (\cntr_updn_14_bit_0|count_out [8] & (\cntr_updn_14_bit_0|count_out [3] & (!\cntr_updn_14_bit_0|count_out [1] & !\cntr_updn_14_bit_0|count_out [2]))) .dataa(\cntr_updn_14_bit_0|count_out [8]), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\cntr_updn_14_bit_0|count_out [1]), .datad(\cntr_updn_14_bit_0|count_out [2]), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal1~1_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal1~1 .lut_mask = 16'h0008; defparam \cntr_updn_14_bit_0|Equal1~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N8 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~4 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~4_combout = (\cntr_updn_14_bit_0|count_out [2] & ((GND) # (!\cntr_updn_14_bit_0|Add1~3 ))) # (!\cntr_updn_14_bit_0|count_out [2] & (\cntr_updn_14_bit_0|Add1~3 $ (GND))) // \cntr_updn_14_bit_0|Add1~5 = CARRY((\cntr_updn_14_bit_0|count_out [2]) # (!\cntr_updn_14_bit_0|Add1~3 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [2]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~3 ), .combout(\cntr_updn_14_bit_0|Add1~4_combout ), .cout(\cntr_updn_14_bit_0|Add1~5 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~4 .lut_mask = 16'h3CCF; defparam \cntr_updn_14_bit_0|Add1~4 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N10 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~6 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~6_combout = (\cntr_updn_14_bit_0|count_out [3] & (!\cntr_updn_14_bit_0|Add1~5 )) # (!\cntr_updn_14_bit_0|count_out [3] & (\cntr_updn_14_bit_0|Add1~5 & VCC)) // \cntr_updn_14_bit_0|Add1~7 = CARRY((\cntr_updn_14_bit_0|count_out [3] & !\cntr_updn_14_bit_0|Add1~5 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~5 ), .combout(\cntr_updn_14_bit_0|Add1~6_combout ), .cout(\cntr_updn_14_bit_0|Add1~7 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~6 .lut_mask = 16'h3C0C; defparam \cntr_updn_14_bit_0|Add1~6 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N12 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~8 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~8_combout = (\cntr_updn_14_bit_0|count_out [4] & ((GND) # (!\cntr_updn_14_bit_0|Add1~7 ))) # (!\cntr_updn_14_bit_0|count_out [4] & (\cntr_updn_14_bit_0|Add1~7 $ (GND))) // \cntr_updn_14_bit_0|Add1~9 = CARRY((\cntr_updn_14_bit_0|count_out [4]) # (!\cntr_updn_14_bit_0|Add1~7 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [4]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~7 ), .combout(\cntr_updn_14_bit_0|Add1~8_combout ), .cout(\cntr_updn_14_bit_0|Add1~9 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~8 .lut_mask = 16'h3CCF; defparam \cntr_updn_14_bit_0|Add1~8 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N14 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~10 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~10_combout = (\cntr_updn_14_bit_0|count_out [5] & (!\cntr_updn_14_bit_0|Add1~9 )) # (!\cntr_updn_14_bit_0|count_out [5] & (\cntr_updn_14_bit_0|Add1~9 & VCC)) // \cntr_updn_14_bit_0|Add1~11 = CARRY((\cntr_updn_14_bit_0|count_out [5] & !\cntr_updn_14_bit_0|Add1~9 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~9 ), .combout(\cntr_updn_14_bit_0|Add1~10_combout ), .cout(\cntr_updn_14_bit_0|Add1~11 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~10 .lut_mask = 16'h3C0C; defparam \cntr_updn_14_bit_0|Add1~10 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N16 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~12 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~12_combout = (\cntr_updn_14_bit_0|count_out [6] & (\cntr_updn_14_bit_0|Add1~11 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [6] & ((GND) # (!\cntr_updn_14_bit_0|Add1~11 ))) // \cntr_updn_14_bit_0|Add1~13 = CARRY((!\cntr_updn_14_bit_0|Add1~11 ) # (!\cntr_updn_14_bit_0|count_out [6])) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [6]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~11 ), .combout(\cntr_updn_14_bit_0|Add1~12_combout ), .cout(\cntr_updn_14_bit_0|Add1~13 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~12 .lut_mask = 16'hC33F; defparam \cntr_updn_14_bit_0|Add1~12 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N18 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~14 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~14_combout = (\cntr_updn_14_bit_0|count_out [7] & (!\cntr_updn_14_bit_0|Add1~13 )) # (!\cntr_updn_14_bit_0|count_out [7] & (\cntr_updn_14_bit_0|Add1~13 & VCC)) // \cntr_updn_14_bit_0|Add1~15 = CARRY((\cntr_updn_14_bit_0|count_out [7] & !\cntr_updn_14_bit_0|Add1~13 )) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~13 ), .combout(\cntr_updn_14_bit_0|Add1~14_combout ), .cout(\cntr_updn_14_bit_0|Add1~15 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~14 .lut_mask = 16'h5A0A; defparam \cntr_updn_14_bit_0|Add1~14 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N20 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~16 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~16_combout = (\cntr_updn_14_bit_0|count_out [8] & (\cntr_updn_14_bit_0|Add1~15 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [8] & ((GND) # (!\cntr_updn_14_bit_0|Add1~15 ))) // \cntr_updn_14_bit_0|Add1~17 = CARRY((!\cntr_updn_14_bit_0|Add1~15 ) # (!\cntr_updn_14_bit_0|count_out [8])) .dataa(\cntr_updn_14_bit_0|count_out [8]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~15 ), .combout(\cntr_updn_14_bit_0|Add1~16_combout ), .cout(\cntr_updn_14_bit_0|Add1~17 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~16 .lut_mask = 16'hA55F; defparam \cntr_updn_14_bit_0|Add1~16 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N22 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~18 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~18_combout = (\cntr_updn_14_bit_0|count_out [9] & (!\cntr_updn_14_bit_0|Add1~17 )) # (!\cntr_updn_14_bit_0|count_out [9] & (\cntr_updn_14_bit_0|Add1~17 & VCC)) // \cntr_updn_14_bit_0|Add1~19 = CARRY((\cntr_updn_14_bit_0|count_out [9] & !\cntr_updn_14_bit_0|Add1~17 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [9]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~17 ), .combout(\cntr_updn_14_bit_0|Add1~18_combout ), .cout(\cntr_updn_14_bit_0|Add1~19 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~18 .lut_mask = 16'h3C0C; defparam \cntr_updn_14_bit_0|Add1~18 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N18 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~18 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~18_combout = (\cntr_updn_14_bit_0|count_out [9] & ((\cntr_updn_14_bit_0|Add0~17 ) # (GND))) # (!\cntr_updn_14_bit_0|count_out [9] & (!\cntr_updn_14_bit_0|Add0~17 )) // \cntr_updn_14_bit_0|Add0~19 = CARRY((\cntr_updn_14_bit_0|count_out [9]) # (!\cntr_updn_14_bit_0|Add0~17 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [9]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~17 ), .combout(\cntr_updn_14_bit_0|Add0~18_combout ), .cout(\cntr_updn_14_bit_0|Add0~19 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~18 .lut_mask = 16'hC3CF; defparam \cntr_updn_14_bit_0|Add0~18 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N2 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~10 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~10_combout = (\cntr_updn_14_bit_0|count_out~9_combout & (!\cntr_updn_14_bit_0|Add1~18_combout & ((!\cntr_updn_14_bit_0|Add0~18_combout ) # (!\quad_decode_0|direction~0_combout )))) # // (!\cntr_updn_14_bit_0|count_out~9_combout & (((!\cntr_updn_14_bit_0|Add0~18_combout )) # (!\quad_decode_0|direction~0_combout ))) .dataa(\cntr_updn_14_bit_0|count_out~9_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|Add1~18_combout ), .datad(\cntr_updn_14_bit_0|Add0~18_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~10_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~10 .lut_mask = 16'h135F; defparam \cntr_updn_14_bit_0|count_out~10 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X14_Y28_N3 dffeas \cntr_updn_14_bit_0|count_out[9] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~10_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [9]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[9] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[9] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N20 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~20 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~20_combout = (\cntr_updn_14_bit_0|count_out [10] & (\cntr_updn_14_bit_0|Add0~19 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [10] & (!\cntr_updn_14_bit_0|Add0~19 & VCC)) // \cntr_updn_14_bit_0|Add0~21 = CARRY((\cntr_updn_14_bit_0|count_out [10] & !\cntr_updn_14_bit_0|Add0~19 )) .dataa(\cntr_updn_14_bit_0|count_out [10]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~19 ), .combout(\cntr_updn_14_bit_0|Add0~20_combout ), .cout(\cntr_updn_14_bit_0|Add0~21 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~20 .lut_mask = 16'hA50A; defparam \cntr_updn_14_bit_0|Add0~20 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N24 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~20 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~20_combout = (\cntr_updn_14_bit_0|count_out [10] & ((GND) # (!\cntr_updn_14_bit_0|Add1~19 ))) # (!\cntr_updn_14_bit_0|count_out [10] & (\cntr_updn_14_bit_0|Add1~19 $ (GND))) // \cntr_updn_14_bit_0|Add1~21 = CARRY((\cntr_updn_14_bit_0|count_out [10]) # (!\cntr_updn_14_bit_0|Add1~19 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [10]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~19 ), .combout(\cntr_updn_14_bit_0|Add1~20_combout ), .cout(\cntr_updn_14_bit_0|Add1~21 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~20 .lut_mask = 16'h3CCF; defparam \cntr_updn_14_bit_0|Add1~20 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N0 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~8 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~8_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & ((\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add0~20_combout )) # (!\quad_decode_0|direction~0_combout & ((\cntr_updn_14_bit_0|Add1~20_combout // ))))) .dataa(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|Add0~20_combout ), .datad(\cntr_updn_14_bit_0|Add1~20_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~8_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~8 .lut_mask = 16'h5140; defparam \cntr_updn_14_bit_0|count_out~8 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X14_Y28_N1 dffeas \cntr_updn_14_bit_0|count_out[10] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~8_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [10]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[10] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[10] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N22 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~22 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~22_combout = (\cntr_updn_14_bit_0|count_out [11] & (!\cntr_updn_14_bit_0|Add0~21 )) # (!\cntr_updn_14_bit_0|count_out [11] & ((\cntr_updn_14_bit_0|Add0~21 ) # (GND))) // \cntr_updn_14_bit_0|Add0~23 = CARRY((!\cntr_updn_14_bit_0|Add0~21 ) # (!\cntr_updn_14_bit_0|count_out [11])) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~21 ), .combout(\cntr_updn_14_bit_0|Add0~22_combout ), .cout(\cntr_updn_14_bit_0|Add0~23 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~22 .lut_mask = 16'h3C3F; defparam \cntr_updn_14_bit_0|Add0~22 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N26 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~22 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~22_combout = (\cntr_updn_14_bit_0|count_out [11] & (\cntr_updn_14_bit_0|Add1~21 & VCC)) # (!\cntr_updn_14_bit_0|count_out [11] & (!\cntr_updn_14_bit_0|Add1~21 )) // \cntr_updn_14_bit_0|Add1~23 = CARRY((!\cntr_updn_14_bit_0|count_out [11] & !\cntr_updn_14_bit_0|Add1~21 )) .dataa(\cntr_updn_14_bit_0|count_out [11]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~21 ), .combout(\cntr_updn_14_bit_0|Add1~22_combout ), .cout(\cntr_updn_14_bit_0|Add1~23 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~22 .lut_mask = 16'hA505; defparam \cntr_updn_14_bit_0|Add1~22 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N28 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~6 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~6_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & ((\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add0~22_combout )) # (!\quad_decode_0|direction~0_combout & ((\cntr_updn_14_bit_0|Add1~22_combout // ))))) .dataa(\cntr_updn_14_bit_0|Add0~22_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .datad(\cntr_updn_14_bit_0|Add1~22_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~6_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~6 .lut_mask = 16'h0B08; defparam \cntr_updn_14_bit_0|count_out~6 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X16_Y28_N29 dffeas \cntr_updn_14_bit_0|count_out[11] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~6_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [11]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[11] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[11] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N24 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~24 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~24_combout = (\cntr_updn_14_bit_0|count_out [12] & (\cntr_updn_14_bit_0|Add0~23 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [12] & (!\cntr_updn_14_bit_0|Add0~23 & VCC)) // \cntr_updn_14_bit_0|Add0~25 = CARRY((\cntr_updn_14_bit_0|count_out [12] & !\cntr_updn_14_bit_0|Add0~23 )) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~23 ), .combout(\cntr_updn_14_bit_0|Add0~24_combout ), .cout(\cntr_updn_14_bit_0|Add0~25 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~24 .lut_mask = 16'hA50A; defparam \cntr_updn_14_bit_0|Add0~24 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N28 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~24 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~24_combout = (\cntr_updn_14_bit_0|count_out [12] & ((GND) # (!\cntr_updn_14_bit_0|Add1~23 ))) # (!\cntr_updn_14_bit_0|count_out [12] & (\cntr_updn_14_bit_0|Add1~23 $ (GND))) // \cntr_updn_14_bit_0|Add1~25 = CARRY((\cntr_updn_14_bit_0|count_out [12]) # (!\cntr_updn_14_bit_0|Add1~23 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [12]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add1~23 ), .combout(\cntr_updn_14_bit_0|Add1~24_combout ), .cout(\cntr_updn_14_bit_0|Add1~25 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~24 .lut_mask = 16'h3CCF; defparam \cntr_updn_14_bit_0|Add1~24 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N30 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~7 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~7_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & ((\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add0~24_combout )) # (!\quad_decode_0|direction~0_combout & ((\cntr_updn_14_bit_0|Add1~24_combout // ))))) .dataa(\quad_decode_0|direction~0_combout ), .datab(\cntr_updn_14_bit_0|Add0~24_combout ), .datac(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .datad(\cntr_updn_14_bit_0|Add1~24_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~7_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~7 .lut_mask = 16'h0D08; defparam \cntr_updn_14_bit_0|count_out~7 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X16_Y28_N31 dffeas \cntr_updn_14_bit_0|count_out[12] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~7_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [12]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[12] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[12] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N12 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal0~0 ( // Equation(s): // \cntr_updn_14_bit_0|Equal0~0_combout = (\cntr_updn_14_bit_0|count_out [6] & (!\cntr_updn_14_bit_0|count_out [12] & (\cntr_updn_14_bit_0|count_out [7] & !\cntr_updn_14_bit_0|count_out [11]))) .dataa(\cntr_updn_14_bit_0|count_out [6]), .datab(\cntr_updn_14_bit_0|count_out [12]), .datac(\cntr_updn_14_bit_0|count_out [7]), .datad(\cntr_updn_14_bit_0|count_out [11]), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal0~0_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal0~0 .lut_mask = 16'h0020; defparam \cntr_updn_14_bit_0|Equal0~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N14 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal0~1 ( // Equation(s): // \cntr_updn_14_bit_0|Equal0~1_combout = (!\cntr_updn_14_bit_0|count_out [4] & (\cntr_updn_14_bit_0|count_out [5] & \cntr_updn_14_bit_0|Equal0~0_combout )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [4]), .datac(\cntr_updn_14_bit_0|count_out [5]), .datad(\cntr_updn_14_bit_0|Equal0~0_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal0~1_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal0~1 .lut_mask = 16'h3000; defparam \cntr_updn_14_bit_0|Equal0~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N2 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~9 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~9_combout = (!\quad_decode_0|direction~0_combout & (((!\cntr_updn_14_bit_0|Equal0~1_combout ) # (!\cntr_updn_14_bit_0|Equal1~1_combout )) # (!\cntr_updn_14_bit_0|Equal1~0_combout ))) .dataa(\cntr_updn_14_bit_0|Equal1~0_combout ), .datab(\cntr_updn_14_bit_0|Equal1~1_combout ), .datac(\quad_decode_0|direction~0_combout ), .datad(\cntr_updn_14_bit_0|Equal0~1_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~9_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~9 .lut_mask = 16'h070F; defparam \cntr_updn_14_bit_0|count_out~9 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N6 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~11 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~11_combout = (\quad_decode_0|direction~0_combout & (!\cntr_updn_14_bit_0|Add0~16_combout & ((!\cntr_updn_14_bit_0|Add1~16_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) # (!\quad_decode_0|direction~0_combout & // (((!\cntr_updn_14_bit_0|Add1~16_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) .dataa(\quad_decode_0|direction~0_combout ), .datab(\cntr_updn_14_bit_0|Add0~16_combout ), .datac(\cntr_updn_14_bit_0|count_out~9_combout ), .datad(\cntr_updn_14_bit_0|Add1~16_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~11_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~11 .lut_mask = 16'h0777; defparam \cntr_updn_14_bit_0|count_out~11 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X15_Y28_N7 dffeas \cntr_updn_14_bit_0|count_out[8] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~11_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [8]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[8] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[8] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N18 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal0~3 ( // Equation(s): // \cntr_updn_14_bit_0|Equal0~3_combout = (!\cntr_updn_14_bit_0|count_out [8] & (!\cntr_updn_14_bit_0|count_out [3] & (\cntr_updn_14_bit_0|count_out [1] & \cntr_updn_14_bit_0|count_out [2]))) .dataa(\cntr_updn_14_bit_0|count_out [8]), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\cntr_updn_14_bit_0|count_out [1]), .datad(\cntr_updn_14_bit_0|count_out [2]), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal0~3_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal0~3 .lut_mask = 16'h1000; defparam \cntr_updn_14_bit_0|Equal0~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N26 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~26 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~26_combout = \cntr_updn_14_bit_0|Add0~25 $ (\cntr_updn_14_bit_0|count_out [13]) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(\cntr_updn_14_bit_0|count_out [13]), .cin(\cntr_updn_14_bit_0|Add0~25 ), .combout(\cntr_updn_14_bit_0|Add0~26_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~26 .lut_mask = 16'h0FF0; defparam \cntr_updn_14_bit_0|Add0~26 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N14 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal1~2 ( // Equation(s): // \cntr_updn_14_bit_0|Equal1~2_combout = (\cntr_updn_14_bit_0|Equal1~0_combout & (\cntr_updn_14_bit_0|Equal1~1_combout & \cntr_updn_14_bit_0|Equal0~1_combout )) .dataa(\cntr_updn_14_bit_0|Equal1~0_combout ), .datab(\cntr_updn_14_bit_0|Equal1~1_combout ), .datac(gnd), .datad(\cntr_updn_14_bit_0|Equal0~1_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal1~2_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal1~2 .lut_mask = 16'h8800; defparam \cntr_updn_14_bit_0|Equal1~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y28_N30 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add1~26 ( // Equation(s): // \cntr_updn_14_bit_0|Add1~26_combout = \cntr_updn_14_bit_0|count_out [13] $ (!\cntr_updn_14_bit_0|Add1~25 ) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [13]), .datac(gnd), .datad(gnd), .cin(\cntr_updn_14_bit_0|Add1~25 ), .combout(\cntr_updn_14_bit_0|Add1~26_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add1~26 .lut_mask = 16'hC3C3; defparam \cntr_updn_14_bit_0|Add1~26 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N8 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~4 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~4_combout = (\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add0~26_combout )) # (!\quad_decode_0|direction~0_combout & (((!\cntr_updn_14_bit_0|Equal1~2_combout & \cntr_updn_14_bit_0|Add1~26_combout )))) .dataa(\quad_decode_0|direction~0_combout ), .datab(\cntr_updn_14_bit_0|Add0~26_combout ), .datac(\cntr_updn_14_bit_0|Equal1~2_combout ), .datad(\cntr_updn_14_bit_0|Add1~26_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~4_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~4 .lut_mask = 16'h8D88; defparam \cntr_updn_14_bit_0|count_out~4 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X15_Y28_N9 dffeas \cntr_updn_14_bit_0|count_out[13] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~4_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [13]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[13] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[13] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N4 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal0~2 ( // Equation(s): // \cntr_updn_14_bit_0|Equal0~2_combout = (\cntr_updn_14_bit_0|count_out [0] & (\cntr_updn_14_bit_0|count_out [13] & (\cntr_updn_14_bit_0|count_out [10] & !\cntr_updn_14_bit_0|count_out [9]))) .dataa(\cntr_updn_14_bit_0|count_out [0]), .datab(\cntr_updn_14_bit_0|count_out [13]), .datac(\cntr_updn_14_bit_0|count_out [10]), .datad(\cntr_updn_14_bit_0|count_out [9]), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal0~2_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal0~2 .lut_mask = 16'h0080; defparam \cntr_updn_14_bit_0|Equal0~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N24 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal0~4 ( // Equation(s): // \cntr_updn_14_bit_0|Equal0~4_combout = (\cntr_updn_14_bit_0|Equal0~3_combout & (\cntr_updn_14_bit_0|Equal0~2_combout & \cntr_updn_14_bit_0|Equal0~1_combout )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|Equal0~3_combout ), .datac(\cntr_updn_14_bit_0|Equal0~2_combout ), .datad(\cntr_updn_14_bit_0|Equal0~1_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal0~4_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal0~4 .lut_mask = 16'hC000; defparam \cntr_updn_14_bit_0|Equal0~4 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N12 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~18 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~18_combout = (\quad_decode_0|direction~0_combout & (((\cntr_updn_14_bit_0|Add0~0_combout ) # (\cntr_updn_14_bit_0|Equal0~4_combout )))) # (!\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add1~0_combout )) .dataa(\quad_decode_0|direction~0_combout ), .datab(\cntr_updn_14_bit_0|Add1~0_combout ), .datac(\cntr_updn_14_bit_0|Add0~0_combout ), .datad(\cntr_updn_14_bit_0|Equal0~4_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~18_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~18 .lut_mask = 16'hEEE4; defparam \cntr_updn_14_bit_0|count_out~18 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N26 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~19 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~19_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & \cntr_updn_14_bit_0|count_out~18_combout ) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .datac(gnd), .datad(\cntr_updn_14_bit_0|count_out~18_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~19_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~19 .lut_mask = 16'h3300; defparam \cntr_updn_14_bit_0|count_out~19 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X15_Y28_N27 dffeas \cntr_updn_14_bit_0|count_out[0] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~19_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [0]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[0] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[0] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N22 cycloneive_lcell_comb \cntr_updn_14_bit_0|Equal1~0 ( // Equation(s): // \cntr_updn_14_bit_0|Equal1~0_combout = (!\cntr_updn_14_bit_0|count_out [0] & (!\cntr_updn_14_bit_0|count_out [13] & (!\cntr_updn_14_bit_0|count_out [10] & \cntr_updn_14_bit_0|count_out [9]))) .dataa(\cntr_updn_14_bit_0|count_out [0]), .datab(\cntr_updn_14_bit_0|count_out [13]), .datac(\cntr_updn_14_bit_0|count_out [10]), .datad(\cntr_updn_14_bit_0|count_out [9]), .cin(gnd), .combout(\cntr_updn_14_bit_0|Equal1~0_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|Equal1~0 .lut_mask = 16'h0100; defparam \cntr_updn_14_bit_0|Equal1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N20 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out[0]~5 ( // Equation(s): // \cntr_updn_14_bit_0|count_out[0]~5_combout = (\cntr_updn_14_bit_0|Equal1~0_combout & (\cntr_updn_14_bit_0|Equal1~1_combout & (!\quad_decode_0|direction~0_combout & \cntr_updn_14_bit_0|Equal0~1_combout ))) .dataa(\cntr_updn_14_bit_0|Equal1~0_combout ), .datab(\cntr_updn_14_bit_0|Equal1~1_combout ), .datac(\quad_decode_0|direction~0_combout ), .datad(\cntr_updn_14_bit_0|Equal0~1_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[0]~5 .lut_mask = 16'h0800; defparam \cntr_updn_14_bit_0|count_out[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N4 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~4 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~4_combout = (\cntr_updn_14_bit_0|count_out [2] & (\cntr_updn_14_bit_0|Add0~3 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [2] & (!\cntr_updn_14_bit_0|Add0~3 & VCC)) // \cntr_updn_14_bit_0|Add0~5 = CARRY((\cntr_updn_14_bit_0|count_out [2] & !\cntr_updn_14_bit_0|Add0~3 )) .dataa(\cntr_updn_14_bit_0|count_out [2]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~3 ), .combout(\cntr_updn_14_bit_0|Add0~4_combout ), .cout(\cntr_updn_14_bit_0|Add0~5 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~4 .lut_mask = 16'hA50A; defparam \cntr_updn_14_bit_0|Add0~4 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N30 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~20 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~20_combout = (\quad_decode_0|direction~0_combout & ((\cntr_updn_14_bit_0|Add0~4_combout ) # ((\cntr_updn_14_bit_0|Equal0~4_combout )))) # (!\quad_decode_0|direction~0_combout & (((\cntr_updn_14_bit_0|Add1~4_combout )))) .dataa(\cntr_updn_14_bit_0|Add0~4_combout ), .datab(\cntr_updn_14_bit_0|Equal0~4_combout ), .datac(\quad_decode_0|direction~0_combout ), .datad(\cntr_updn_14_bit_0|Add1~4_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~20_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~20 .lut_mask = 16'hEFE0; defparam \cntr_updn_14_bit_0|count_out~20 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N16 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~21 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~21_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & \cntr_updn_14_bit_0|count_out~20_combout ) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .datac(\cntr_updn_14_bit_0|count_out~20_combout ), .datad(gnd), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~21_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~21 .lut_mask = 16'h3030; defparam \cntr_updn_14_bit_0|count_out~21 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X15_Y28_N17 dffeas \cntr_updn_14_bit_0|count_out[2] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~21_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [2]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[2] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[2] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N6 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~6 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~6_combout = (\cntr_updn_14_bit_0|count_out [3] & ((\cntr_updn_14_bit_0|Add0~5 ) # (GND))) # (!\cntr_updn_14_bit_0|count_out [3] & (!\cntr_updn_14_bit_0|Add0~5 )) // \cntr_updn_14_bit_0|Add0~7 = CARRY((\cntr_updn_14_bit_0|count_out [3]) # (!\cntr_updn_14_bit_0|Add0~5 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~5 ), .combout(\cntr_updn_14_bit_0|Add0~6_combout ), .cout(\cntr_updn_14_bit_0|Add0~7 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~6 .lut_mask = 16'hC3CF; defparam \cntr_updn_14_bit_0|Add0~6 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N10 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~16 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~16_combout = (\cntr_updn_14_bit_0|count_out~9_combout & \cntr_updn_14_bit_0|Add1~6_combout ) .dataa(gnd), .datab(gnd), .datac(\cntr_updn_14_bit_0|count_out~9_combout ), .datad(\cntr_updn_14_bit_0|Add1~6_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~16_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~16 .lut_mask = 16'hF000; defparam \cntr_updn_14_bit_0|count_out~16 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y28_N28 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~17 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~17_combout = (!\cntr_updn_14_bit_0|count_out~16_combout & (((!\cntr_updn_14_bit_0|Add0~6_combout & !\cntr_updn_14_bit_0|Equal0~4_combout )) # (!\quad_decode_0|direction~0_combout ))) .dataa(\cntr_updn_14_bit_0|Add0~6_combout ), .datab(\cntr_updn_14_bit_0|Equal0~4_combout ), .datac(\quad_decode_0|direction~0_combout ), .datad(\cntr_updn_14_bit_0|count_out~16_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~17_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~17 .lut_mask = 16'h001F; defparam \cntr_updn_14_bit_0|count_out~17 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X15_Y28_N29 dffeas \cntr_updn_14_bit_0|count_out[3] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~17_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [3]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[3] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[3] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N8 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~8 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~8_combout = (\cntr_updn_14_bit_0|count_out [4] & (\cntr_updn_14_bit_0|Add0~7 $ (GND))) # (!\cntr_updn_14_bit_0|count_out [4] & (!\cntr_updn_14_bit_0|Add0~7 & VCC)) // \cntr_updn_14_bit_0|Add0~9 = CARRY((\cntr_updn_14_bit_0|count_out [4] & !\cntr_updn_14_bit_0|Add0~7 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [4]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~7 ), .combout(\cntr_updn_14_bit_0|Add0~8_combout ), .cout(\cntr_updn_14_bit_0|Add0~9 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~8 .lut_mask = 16'hC30C; defparam \cntr_updn_14_bit_0|Add0~8 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N2 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~23 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~23_combout = (!\cntr_updn_14_bit_0|count_out[0]~5_combout & ((\debounce_enc_a|jk_ff~q $ (!\quad_decode_0|quad_ff_b~q )) # (!\cntr_updn_14_bit_0|Equal0~4_combout ))) .dataa(\debounce_enc_a|jk_ff~q ), .datab(\quad_decode_0|quad_ff_b~q ), .datac(\cntr_updn_14_bit_0|Equal0~4_combout ), .datad(\cntr_updn_14_bit_0|count_out[0]~5_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~23_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~23 .lut_mask = 16'h009F; defparam \cntr_updn_14_bit_0|count_out~23 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N0 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~15 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~15_combout = (\cntr_updn_14_bit_0|count_out~23_combout & ((\quad_decode_0|direction~0_combout & (\cntr_updn_14_bit_0|Add0~8_combout )) # (!\quad_decode_0|direction~0_combout & ((\cntr_updn_14_bit_0|Add1~8_combout ))))) .dataa(\cntr_updn_14_bit_0|Add0~8_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|Add1~8_combout ), .datad(\cntr_updn_14_bit_0|count_out~23_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~15_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~15 .lut_mask = 16'hB800; defparam \cntr_updn_14_bit_0|count_out~15 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N1 dffeas \cntr_updn_14_bit_0|count_out[4] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~15_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [4]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[4] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[4] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N10 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~10 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~10_combout = (\cntr_updn_14_bit_0|count_out [5] & ((\cntr_updn_14_bit_0|Add0~9 ) # (GND))) # (!\cntr_updn_14_bit_0|count_out [5] & (!\cntr_updn_14_bit_0|Add0~9 )) // \cntr_updn_14_bit_0|Add0~11 = CARRY((\cntr_updn_14_bit_0|count_out [5]) # (!\cntr_updn_14_bit_0|Add0~9 )) .dataa(gnd), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~9 ), .combout(\cntr_updn_14_bit_0|Add0~10_combout ), .cout(\cntr_updn_14_bit_0|Add0~11 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~10 .lut_mask = 16'hC3CF; defparam \cntr_updn_14_bit_0|Add0~10 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N4 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~14 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~14_combout = (\cntr_updn_14_bit_0|Add0~10_combout & (!\quad_decode_0|direction~0_combout & ((!\cntr_updn_14_bit_0|Add1~10_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) # (!\cntr_updn_14_bit_0|Add0~10_combout // & (((!\cntr_updn_14_bit_0|Add1~10_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) .dataa(\cntr_updn_14_bit_0|Add0~10_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|count_out~9_combout ), .datad(\cntr_updn_14_bit_0|Add1~10_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~14_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~14 .lut_mask = 16'h0777; defparam \cntr_updn_14_bit_0|count_out~14 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N5 dffeas \cntr_updn_14_bit_0|count_out[5] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~14_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [5]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[5] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[5] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X16_Y28_N12 cycloneive_lcell_comb \cntr_updn_14_bit_0|Add0~12 ( // Equation(s): // \cntr_updn_14_bit_0|Add0~12_combout = (\cntr_updn_14_bit_0|count_out [6] & (!\cntr_updn_14_bit_0|Add0~11 & VCC)) # (!\cntr_updn_14_bit_0|count_out [6] & (\cntr_updn_14_bit_0|Add0~11 $ (GND))) // \cntr_updn_14_bit_0|Add0~13 = CARRY((!\cntr_updn_14_bit_0|count_out [6] & !\cntr_updn_14_bit_0|Add0~11 )) .dataa(\cntr_updn_14_bit_0|count_out [6]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\cntr_updn_14_bit_0|Add0~11 ), .combout(\cntr_updn_14_bit_0|Add0~12_combout ), .cout(\cntr_updn_14_bit_0|Add0~13 )); // synopsys translate_off defparam \cntr_updn_14_bit_0|Add0~12 .lut_mask = 16'h5A05; defparam \cntr_updn_14_bit_0|Add0~12 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N6 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~13 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~13_combout = (\cntr_updn_14_bit_0|Add0~12_combout & (!\quad_decode_0|direction~0_combout & ((!\cntr_updn_14_bit_0|Add1~12_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) # (!\cntr_updn_14_bit_0|Add0~12_combout // & (((!\cntr_updn_14_bit_0|Add1~12_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) .dataa(\cntr_updn_14_bit_0|Add0~12_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|count_out~9_combout ), .datad(\cntr_updn_14_bit_0|Add1~12_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~13_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~13 .lut_mask = 16'h0777; defparam \cntr_updn_14_bit_0|count_out~13 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N7 dffeas \cntr_updn_14_bit_0|count_out[6] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~13_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [6]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[6] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[6] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X17_Y28_N16 cycloneive_lcell_comb \cntr_updn_14_bit_0|count_out~12 ( // Equation(s): // \cntr_updn_14_bit_0|count_out~12_combout = (\cntr_updn_14_bit_0|Add0~14_combout & (!\quad_decode_0|direction~0_combout & ((!\cntr_updn_14_bit_0|Add1~14_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) # (!\cntr_updn_14_bit_0|Add0~14_combout // & (((!\cntr_updn_14_bit_0|Add1~14_combout ) # (!\cntr_updn_14_bit_0|count_out~9_combout )))) .dataa(\cntr_updn_14_bit_0|Add0~14_combout ), .datab(\quad_decode_0|direction~0_combout ), .datac(\cntr_updn_14_bit_0|count_out~9_combout ), .datad(\cntr_updn_14_bit_0|Add1~14_combout ), .cin(gnd), .combout(\cntr_updn_14_bit_0|count_out~12_combout ), .cout()); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out~12 .lut_mask = 16'h0777; defparam \cntr_updn_14_bit_0|count_out~12 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X17_Y28_N17 dffeas \cntr_updn_14_bit_0|count_out[7] ( .clk(\Equal13~clkctrl_outclk ), .d(\cntr_updn_14_bit_0|count_out~12_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(\quad_decode_0|cnt_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), .q(\cntr_updn_14_bit_0|count_out [7]), .prn(vcc)); // synopsys translate_off defparam \cntr_updn_14_bit_0|count_out[7] .is_wysiwyg = "true"; defparam \cntr_updn_14_bit_0|count_out[7] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N6 cycloneive_lcell_comb \bin2bcd_0|shift~32 ( // Equation(s): // \bin2bcd_0|shift~32_combout = (\cntr_updn_14_bit_0|count_out [12] & (!\cntr_updn_14_bit_0|count_out [10] & (\cntr_updn_14_bit_0|count_out [13] $ (!\cntr_updn_14_bit_0|count_out [11])))) # (!\cntr_updn_14_bit_0|count_out [12] & // (\cntr_updn_14_bit_0|count_out [13] & (!\cntr_updn_14_bit_0|count_out [11] & \cntr_updn_14_bit_0|count_out [10]))) .dataa(\cntr_updn_14_bit_0|count_out [13]), .datab(\cntr_updn_14_bit_0|count_out [12]), .datac(\cntr_updn_14_bit_0|count_out [11]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~32_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~32 .lut_mask = 16'h0284; defparam \bin2bcd_0|shift~32 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N26 cycloneive_lcell_comb \bin2bcd_0|shift~30 ( // Equation(s): // \bin2bcd_0|shift~30_combout = (\cntr_updn_14_bit_0|count_out [11] & (!\cntr_updn_14_bit_0|count_out [13] & ((\cntr_updn_14_bit_0|count_out [10]) # (!\cntr_updn_14_bit_0|count_out [12])))) # (!\cntr_updn_14_bit_0|count_out [11] & // (\cntr_updn_14_bit_0|count_out [13] & ((\cntr_updn_14_bit_0|count_out [12]) # (!\cntr_updn_14_bit_0|count_out [10])))) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(\cntr_updn_14_bit_0|count_out [13]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~30_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~30 .lut_mask = 16'h2C34; defparam \bin2bcd_0|shift~30 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N0 cycloneive_lcell_comb \bin2bcd_0|shift~31 ( // Equation(s): // \bin2bcd_0|shift~31_combout = (\cntr_updn_14_bit_0|count_out [12] & ((\cntr_updn_14_bit_0|count_out [13] & ((\cntr_updn_14_bit_0|count_out [11]) # (!\cntr_updn_14_bit_0|count_out [10]))) # (!\cntr_updn_14_bit_0|count_out [13] & // ((\cntr_updn_14_bit_0|count_out [10]) # (!\cntr_updn_14_bit_0|count_out [11]))))) # (!\cntr_updn_14_bit_0|count_out [12] & (\cntr_updn_14_bit_0|count_out [10] $ (((\cntr_updn_14_bit_0|count_out [11]) # (!\cntr_updn_14_bit_0|count_out [13]))))) .dataa(\cntr_updn_14_bit_0|count_out [13]), .datab(\cntr_updn_14_bit_0|count_out [12]), .datac(\cntr_updn_14_bit_0|count_out [11]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~31_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~31 .lut_mask = 16'hC6BD; defparam \bin2bcd_0|shift~31 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N8 cycloneive_lcell_comb \bin2bcd_0|shift~37 ( // Equation(s): // \bin2bcd_0|shift~37_combout = (\cntr_updn_14_bit_0|count_out [9] & (!\bin2bcd_0|shift~32_combout & ((\bin2bcd_0|shift~31_combout ) # (!\bin2bcd_0|shift~30_combout )))) # (!\cntr_updn_14_bit_0|count_out [9] & ((\bin2bcd_0|shift~32_combout ) # // ((\bin2bcd_0|shift~30_combout )))) .dataa(\cntr_updn_14_bit_0|count_out [9]), .datab(\bin2bcd_0|shift~32_combout ), .datac(\bin2bcd_0|shift~30_combout ), .datad(\bin2bcd_0|shift~31_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~37_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~37 .lut_mask = 16'h7656; defparam \bin2bcd_0|shift~37 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N26 cycloneive_lcell_comb \bin2bcd_0|shift~36 ( // Equation(s): // \bin2bcd_0|shift~36_combout = (\cntr_updn_14_bit_0|count_out [9] & ((\bin2bcd_0|shift~32_combout & ((\bin2bcd_0|shift~31_combout ))) # (!\bin2bcd_0|shift~32_combout & (!\bin2bcd_0|shift~30_combout & !\bin2bcd_0|shift~31_combout )))) # // (!\cntr_updn_14_bit_0|count_out [9] & (((!\bin2bcd_0|shift~31_combout )))) .dataa(\cntr_updn_14_bit_0|count_out [9]), .datab(\bin2bcd_0|shift~32_combout ), .datac(\bin2bcd_0|shift~30_combout ), .datad(\bin2bcd_0|shift~31_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~36_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~36 .lut_mask = 16'h8857; defparam \bin2bcd_0|shift~36 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N10 cycloneive_lcell_comb \bin2bcd_0|shift~38 ( // Equation(s): // \bin2bcd_0|shift~38_combout = (\bin2bcd_0|shift~30_combout & (\cntr_updn_14_bit_0|count_out [9] & ((\bin2bcd_0|shift~31_combout )))) # (!\bin2bcd_0|shift~30_combout & (\bin2bcd_0|shift~32_combout & ((!\bin2bcd_0|shift~31_combout ) # // (!\cntr_updn_14_bit_0|count_out [9])))) .dataa(\cntr_updn_14_bit_0|count_out [9]), .datab(\bin2bcd_0|shift~32_combout ), .datac(\bin2bcd_0|shift~30_combout ), .datad(\bin2bcd_0|shift~31_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~38_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~38 .lut_mask = 16'hA40C; defparam \bin2bcd_0|shift~38 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N16 cycloneive_lcell_comb \bin2bcd_0|shift~44 ( // Equation(s): // \bin2bcd_0|shift~44_combout = (\cntr_updn_14_bit_0|count_out [8] & (!\bin2bcd_0|shift~38_combout & ((\bin2bcd_0|shift~37_combout ) # (!\bin2bcd_0|shift~36_combout )))) # (!\cntr_updn_14_bit_0|count_out [8] & (((\bin2bcd_0|shift~36_combout ) # // (\bin2bcd_0|shift~38_combout )))) .dataa(\bin2bcd_0|shift~37_combout ), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(\bin2bcd_0|shift~36_combout ), .datad(\bin2bcd_0|shift~38_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~44_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~44 .lut_mask = 16'h33BC; defparam \bin2bcd_0|shift~44 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N22 cycloneive_lcell_comb \bin2bcd_0|shift~43 ( // Equation(s): // \bin2bcd_0|shift~43_combout = (\bin2bcd_0|shift~37_combout & (\cntr_updn_14_bit_0|count_out [8] & ((\bin2bcd_0|shift~38_combout )))) # (!\bin2bcd_0|shift~37_combout & (((!\bin2bcd_0|shift~36_combout & !\bin2bcd_0|shift~38_combout )) # // (!\cntr_updn_14_bit_0|count_out [8]))) .dataa(\bin2bcd_0|shift~37_combout ), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(\bin2bcd_0|shift~36_combout ), .datad(\bin2bcd_0|shift~38_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~43_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~43 .lut_mask = 16'h9915; defparam \bin2bcd_0|shift~43 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N18 cycloneive_lcell_comb \bin2bcd_0|shift~45 ( // Equation(s): // \bin2bcd_0|shift~45_combout = (\bin2bcd_0|shift~36_combout & (\bin2bcd_0|shift~37_combout & (\cntr_updn_14_bit_0|count_out [8]))) # (!\bin2bcd_0|shift~36_combout & (\bin2bcd_0|shift~38_combout & ((!\cntr_updn_14_bit_0|count_out [8]) # // (!\bin2bcd_0|shift~37_combout )))) .dataa(\bin2bcd_0|shift~37_combout ), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(\bin2bcd_0|shift~36_combout ), .datad(\bin2bcd_0|shift~38_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~45_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~45 .lut_mask = 16'h8780; defparam \bin2bcd_0|shift~45 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N12 cycloneive_lcell_comb \bin2bcd_0|shift~53 ( // Equation(s): // \bin2bcd_0|shift~53_combout = (\cntr_updn_14_bit_0|count_out [7] & (!\bin2bcd_0|shift~45_combout & ((\bin2bcd_0|shift~44_combout ) # (!\bin2bcd_0|shift~43_combout )))) # (!\cntr_updn_14_bit_0|count_out [7] & (((\bin2bcd_0|shift~43_combout ) # // (\bin2bcd_0|shift~45_combout )))) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(\bin2bcd_0|shift~44_combout ), .datac(\bin2bcd_0|shift~43_combout ), .datad(\bin2bcd_0|shift~45_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~53_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~53 .lut_mask = 16'h55DA; defparam \bin2bcd_0|shift~53 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N6 cycloneive_lcell_comb \bin2bcd_0|shift~54 ( // Equation(s): // \bin2bcd_0|shift~54_combout = (\bin2bcd_0|shift~43_combout & (\cntr_updn_14_bit_0|count_out [7] & (\bin2bcd_0|shift~44_combout ))) # (!\bin2bcd_0|shift~43_combout & (\bin2bcd_0|shift~45_combout & ((!\bin2bcd_0|shift~44_combout ) # // (!\cntr_updn_14_bit_0|count_out [7])))) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(\bin2bcd_0|shift~44_combout ), .datac(\bin2bcd_0|shift~43_combout ), .datad(\bin2bcd_0|shift~45_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~54_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~54 .lut_mask = 16'h8780; defparam \bin2bcd_0|shift~54 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N14 cycloneive_lcell_comb \bin2bcd_0|shift~52 ( // Equation(s): // \bin2bcd_0|shift~52_combout = (\cntr_updn_14_bit_0|count_out [7] & ((\bin2bcd_0|shift~44_combout & ((\bin2bcd_0|shift~45_combout ))) # (!\bin2bcd_0|shift~44_combout & (!\bin2bcd_0|shift~43_combout & !\bin2bcd_0|shift~45_combout )))) # // (!\cntr_updn_14_bit_0|count_out [7] & (!\bin2bcd_0|shift~44_combout )) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(\bin2bcd_0|shift~44_combout ), .datac(\bin2bcd_0|shift~43_combout ), .datad(\bin2bcd_0|shift~45_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~52_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~52 .lut_mask = 16'h9913; defparam \bin2bcd_0|shift~52 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N26 cycloneive_lcell_comb \bin2bcd_0|shift~61 ( // Equation(s): // \bin2bcd_0|shift~61_combout = (\bin2bcd_0|shift~52_combout & (\bin2bcd_0|shift~53_combout & ((\cntr_updn_14_bit_0|count_out [6])))) # (!\bin2bcd_0|shift~52_combout & (\bin2bcd_0|shift~54_combout & ((!\cntr_updn_14_bit_0|count_out [6]) # // (!\bin2bcd_0|shift~53_combout )))) .dataa(\bin2bcd_0|shift~53_combout ), .datab(\bin2bcd_0|shift~54_combout ), .datac(\cntr_updn_14_bit_0|count_out [6]), .datad(\bin2bcd_0|shift~52_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~61_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~61 .lut_mask = 16'hA04C; defparam \bin2bcd_0|shift~61 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N4 cycloneive_lcell_comb \bin2bcd_0|shift~60 ( // Equation(s): // \bin2bcd_0|shift~60_combout = (\bin2bcd_0|shift~54_combout & (((!\cntr_updn_14_bit_0|count_out [6])))) # (!\bin2bcd_0|shift~54_combout & ((\cntr_updn_14_bit_0|count_out [6] & ((\bin2bcd_0|shift~53_combout ) # (!\bin2bcd_0|shift~52_combout ))) # // (!\cntr_updn_14_bit_0|count_out [6] & ((\bin2bcd_0|shift~52_combout ))))) .dataa(\bin2bcd_0|shift~53_combout ), .datab(\bin2bcd_0|shift~54_combout ), .datac(\cntr_updn_14_bit_0|count_out [6]), .datad(\bin2bcd_0|shift~52_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~60_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~60 .lut_mask = 16'h2F3C; defparam \bin2bcd_0|shift~60 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N18 cycloneive_lcell_comb \bin2bcd_0|shift~59 ( // Equation(s): // \bin2bcd_0|shift~59_combout = (\bin2bcd_0|shift~53_combout & (\bin2bcd_0|shift~54_combout & (\cntr_updn_14_bit_0|count_out [6]))) # (!\bin2bcd_0|shift~53_combout & (((!\bin2bcd_0|shift~54_combout & !\bin2bcd_0|shift~52_combout )) # // (!\cntr_updn_14_bit_0|count_out [6]))) .dataa(\bin2bcd_0|shift~53_combout ), .datab(\bin2bcd_0|shift~54_combout ), .datac(\cntr_updn_14_bit_0|count_out [6]), .datad(\bin2bcd_0|shift~52_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~59_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~59 .lut_mask = 16'h8595; defparam \bin2bcd_0|shift~59 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N10 cycloneive_lcell_comb \bin2bcd_0|shift~70 ( // Equation(s): // \bin2bcd_0|shift~70_combout = (\bin2bcd_0|shift~61_combout & (!\cntr_updn_14_bit_0|count_out [5])) # (!\bin2bcd_0|shift~61_combout & ((\cntr_updn_14_bit_0|count_out [5] & ((\bin2bcd_0|shift~60_combout ) # (!\bin2bcd_0|shift~59_combout ))) # // (!\cntr_updn_14_bit_0|count_out [5] & ((\bin2bcd_0|shift~59_combout ))))) .dataa(\bin2bcd_0|shift~61_combout ), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(\bin2bcd_0|shift~60_combout ), .datad(\bin2bcd_0|shift~59_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~70_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~70 .lut_mask = 16'h7366; defparam \bin2bcd_0|shift~70 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N16 cycloneive_lcell_comb \bin2bcd_0|shift~69 ( // Equation(s): // \bin2bcd_0|shift~69_combout = (\bin2bcd_0|shift~59_combout & (((\cntr_updn_14_bit_0|count_out [5] & \bin2bcd_0|shift~60_combout )))) # (!\bin2bcd_0|shift~59_combout & (\bin2bcd_0|shift~61_combout & ((!\bin2bcd_0|shift~60_combout ) # // (!\cntr_updn_14_bit_0|count_out [5])))) .dataa(\bin2bcd_0|shift~61_combout ), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(\bin2bcd_0|shift~60_combout ), .datad(\bin2bcd_0|shift~59_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~69_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~69 .lut_mask = 16'hC02A; defparam \bin2bcd_0|shift~69 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N14 cycloneive_lcell_comb \bin2bcd_0|shift~68 ( // Equation(s): // \bin2bcd_0|shift~68_combout = (\bin2bcd_0|shift~61_combout & (\cntr_updn_14_bit_0|count_out [5] $ ((!\bin2bcd_0|shift~60_combout )))) # (!\bin2bcd_0|shift~61_combout & (!\bin2bcd_0|shift~60_combout & ((!\bin2bcd_0|shift~59_combout ) # // (!\cntr_updn_14_bit_0|count_out [5])))) .dataa(\bin2bcd_0|shift~61_combout ), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(\bin2bcd_0|shift~60_combout ), .datad(\bin2bcd_0|shift~59_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~68_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~68 .lut_mask = 16'h8387; defparam \bin2bcd_0|shift~68 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N6 cycloneive_lcell_comb \bin2bcd_0|shift~77 ( // Equation(s): // \bin2bcd_0|shift~77_combout = (\bin2bcd_0|shift~68_combout & (\bin2bcd_0|shift~70_combout & ((!\cntr_updn_14_bit_0|count_out [4])))) # (!\bin2bcd_0|shift~68_combout & (\bin2bcd_0|shift~69_combout & ((\cntr_updn_14_bit_0|count_out [4]) # // (!\bin2bcd_0|shift~70_combout )))) .dataa(\bin2bcd_0|shift~70_combout ), .datab(\bin2bcd_0|shift~69_combout ), .datac(\bin2bcd_0|shift~68_combout ), .datad(\cntr_updn_14_bit_0|count_out [4]), .cin(gnd), .combout(\bin2bcd_0|shift~77_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~77 .lut_mask = 16'h0CA4; defparam \bin2bcd_0|shift~77 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N8 cycloneive_lcell_comb \bin2bcd_0|shift~76 ( // Equation(s): // \bin2bcd_0|shift~76_combout = (\bin2bcd_0|shift~69_combout & (((\cntr_updn_14_bit_0|count_out [4])))) # (!\bin2bcd_0|shift~69_combout & ((\bin2bcd_0|shift~68_combout & ((\bin2bcd_0|shift~70_combout ) # (\cntr_updn_14_bit_0|count_out [4]))) # // (!\bin2bcd_0|shift~68_combout & ((!\cntr_updn_14_bit_0|count_out [4]))))) .dataa(\bin2bcd_0|shift~70_combout ), .datab(\bin2bcd_0|shift~69_combout ), .datac(\bin2bcd_0|shift~68_combout ), .datad(\cntr_updn_14_bit_0|count_out [4]), .cin(gnd), .combout(\bin2bcd_0|shift~76_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~76 .lut_mask = 16'hFC23; defparam \bin2bcd_0|shift~76 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N2 cycloneive_lcell_comb \bin2bcd_0|shift~75 ( // Equation(s): // \bin2bcd_0|shift~75_combout = (\bin2bcd_0|shift~70_combout & (\bin2bcd_0|shift~69_combout & ((!\cntr_updn_14_bit_0|count_out [4])))) # (!\bin2bcd_0|shift~70_combout & ((\cntr_updn_14_bit_0|count_out [4]) # ((!\bin2bcd_0|shift~69_combout & // !\bin2bcd_0|shift~68_combout )))) .dataa(\bin2bcd_0|shift~70_combout ), .datab(\bin2bcd_0|shift~69_combout ), .datac(\bin2bcd_0|shift~68_combout ), .datad(\cntr_updn_14_bit_0|count_out [4]), .cin(gnd), .combout(\bin2bcd_0|shift~75_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~75 .lut_mask = 16'h5589; defparam \bin2bcd_0|shift~75 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N22 cycloneive_lcell_comb \bin2bcd_0|shift~92 ( // Equation(s): // \bin2bcd_0|shift~92_combout = (\bin2bcd_0|shift~75_combout & (((\cntr_updn_14_bit_0|count_out [3] & \bin2bcd_0|shift~76_combout )))) # (!\bin2bcd_0|shift~75_combout & (\bin2bcd_0|shift~77_combout & ((!\bin2bcd_0|shift~76_combout ) # // (!\cntr_updn_14_bit_0|count_out [3])))) .dataa(\bin2bcd_0|shift~77_combout ), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\bin2bcd_0|shift~76_combout ), .datad(\bin2bcd_0|shift~75_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~92_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~92 .lut_mask = 16'hC02A; defparam \bin2bcd_0|shift~92 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N30 cycloneive_lcell_comb \bin2bcd_0|shift~94 ( // Equation(s): // \bin2bcd_0|shift~94_combout = (\bin2bcd_0|shift~77_combout & (!\cntr_updn_14_bit_0|count_out [3])) # (!\bin2bcd_0|shift~77_combout & ((\cntr_updn_14_bit_0|count_out [3] & ((\bin2bcd_0|shift~76_combout ) # (!\bin2bcd_0|shift~75_combout ))) # // (!\cntr_updn_14_bit_0|count_out [3] & ((\bin2bcd_0|shift~75_combout ))))) .dataa(\bin2bcd_0|shift~77_combout ), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\bin2bcd_0|shift~76_combout ), .datad(\bin2bcd_0|shift~75_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~94_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~94 .lut_mask = 16'h7366; defparam \bin2bcd_0|shift~94 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N0 cycloneive_lcell_comb \bin2bcd_0|shift~93 ( // Equation(s): // \bin2bcd_0|shift~93_combout = (\bin2bcd_0|shift~77_combout & (\cntr_updn_14_bit_0|count_out [3] $ ((!\bin2bcd_0|shift~76_combout )))) # (!\bin2bcd_0|shift~77_combout & (!\bin2bcd_0|shift~76_combout & ((!\bin2bcd_0|shift~75_combout ) # // (!\cntr_updn_14_bit_0|count_out [3])))) .dataa(\bin2bcd_0|shift~77_combout ), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\bin2bcd_0|shift~76_combout ), .datad(\bin2bcd_0|shift~75_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~93_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~93 .lut_mask = 16'h8387; defparam \bin2bcd_0|shift~93 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N24 cycloneive_lcell_comb \bin2bcd_0|shift~98 ( // Equation(s): // \bin2bcd_0|shift~98_combout = \bin2bcd_0|shift~92_combout $ ((((\bin2bcd_0|shift~94_combout & !\cntr_updn_14_bit_0|count_out [2])) # (!\bin2bcd_0|shift~93_combout ))) .dataa(\bin2bcd_0|shift~92_combout ), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~93_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~98_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~98 .lut_mask = 16'hA655; defparam \bin2bcd_0|shift~98 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N24 cycloneive_lcell_comb \bin2bcd_0|shift~78 ( // Equation(s): // \bin2bcd_0|shift~78_combout = \bin2bcd_0|shift~77_combout $ ((((\cntr_updn_14_bit_0|count_out [3] & \bin2bcd_0|shift~76_combout )) # (!\bin2bcd_0|shift~75_combout ))) .dataa(\bin2bcd_0|shift~77_combout ), .datab(\cntr_updn_14_bit_0|count_out [3]), .datac(\bin2bcd_0|shift~76_combout ), .datad(\bin2bcd_0|shift~75_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~78_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~78 .lut_mask = 16'h6A55; defparam \bin2bcd_0|shift~78 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N28 cycloneive_lcell_comb \bin2bcd_0|shift~34 ( // Equation(s): // \bin2bcd_0|shift~34_combout = (\cntr_updn_14_bit_0|count_out [12] & ((\cntr_updn_14_bit_0|count_out [11] & ((\cntr_updn_14_bit_0|count_out [10]) # (!\cntr_updn_14_bit_0|count_out [13]))) # (!\cntr_updn_14_bit_0|count_out [11] & // (!\cntr_updn_14_bit_0|count_out [13] & \cntr_updn_14_bit_0|count_out [10])))) # (!\cntr_updn_14_bit_0|count_out [12] & (!\cntr_updn_14_bit_0|count_out [11] & (\cntr_updn_14_bit_0|count_out [13]))) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(\cntr_updn_14_bit_0|count_out [13]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~34_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~34 .lut_mask = 16'h9A18; defparam \bin2bcd_0|shift~34 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N28 cycloneive_lcell_comb \bin2bcd_0|shift~33 ( // Equation(s): // \bin2bcd_0|shift~33_combout = \bin2bcd_0|shift~32_combout $ ((((\cntr_updn_14_bit_0|count_out [9] & \bin2bcd_0|shift~31_combout )) # (!\bin2bcd_0|shift~30_combout ))) .dataa(\cntr_updn_14_bit_0|count_out [9]), .datab(\bin2bcd_0|shift~32_combout ), .datac(\bin2bcd_0|shift~30_combout ), .datad(\bin2bcd_0|shift~31_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~33_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~33 .lut_mask = 16'h63C3; defparam \bin2bcd_0|shift~33 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N24 cycloneive_lcell_comb \bin2bcd_0|LessThan0~0 ( // Equation(s): // \bin2bcd_0|LessThan0~0_combout = (\cntr_updn_14_bit_0|count_out [13] & ((\cntr_updn_14_bit_0|count_out [12]) # (\cntr_updn_14_bit_0|count_out [11]))) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(\cntr_updn_14_bit_0|count_out [13]), .datad(gnd), .cin(gnd), .combout(\bin2bcd_0|LessThan0~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|LessThan0~0 .lut_mask = 16'hE0E0; defparam \bin2bcd_0|LessThan0~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N12 cycloneive_lcell_comb \bin2bcd_0|shift~41 ( // Equation(s): // \bin2bcd_0|shift~41_combout = (\bin2bcd_0|shift~33_combout & (\bin2bcd_0|shift~34_combout & \bin2bcd_0|LessThan0~0_combout )) # (!\bin2bcd_0|shift~33_combout & ((!\bin2bcd_0|LessThan0~0_combout ))) .dataa(\bin2bcd_0|shift~34_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~33_combout ), .datad(\bin2bcd_0|LessThan0~0_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~41_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~41 .lut_mask = 16'hA00F; defparam \bin2bcd_0|shift~41 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N16 cycloneive_lcell_comb \bin2bcd_0|shift~35 ( // Equation(s): // \bin2bcd_0|shift~35_combout = (!\bin2bcd_0|shift~34_combout & (\bin2bcd_0|shift~33_combout & \bin2bcd_0|LessThan0~0_combout )) .dataa(\bin2bcd_0|shift~34_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~33_combout ), .datad(\bin2bcd_0|LessThan0~0_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~35_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~35 .lut_mask = 16'h5000; defparam \bin2bcd_0|shift~35 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N24 cycloneive_lcell_comb \bin2bcd_0|shift~39 ( // Equation(s): // \bin2bcd_0|shift~39_combout = \bin2bcd_0|shift~38_combout $ ((((\bin2bcd_0|shift~37_combout & \cntr_updn_14_bit_0|count_out [8])) # (!\bin2bcd_0|shift~36_combout ))) .dataa(\bin2bcd_0|shift~37_combout ), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(\bin2bcd_0|shift~36_combout ), .datad(\bin2bcd_0|shift~38_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~39_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~39 .lut_mask = 16'h708F; defparam \bin2bcd_0|shift~39 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N18 cycloneive_lcell_comb \bin2bcd_0|shift~40 ( // Equation(s): // \bin2bcd_0|shift~40_combout = (\bin2bcd_0|shift~34_combout & ((!\bin2bcd_0|LessThan0~0_combout ) # (!\bin2bcd_0|shift~33_combout ))) .dataa(\bin2bcd_0|shift~34_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~33_combout ), .datad(\bin2bcd_0|LessThan0~0_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~40_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~40 .lut_mask = 16'h0AAA; defparam \bin2bcd_0|shift~40 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N6 cycloneive_lcell_comb \bin2bcd_0|shift~42 ( // Equation(s): // \bin2bcd_0|shift~42_combout = (\bin2bcd_0|shift~40_combout & (!\bin2bcd_0|shift~41_combout & ((\bin2bcd_0|shift~39_combout )))) # (!\bin2bcd_0|shift~40_combout & (\bin2bcd_0|shift~35_combout & ((\bin2bcd_0|shift~41_combout ) # // (!\bin2bcd_0|shift~39_combout )))) .dataa(\bin2bcd_0|shift~41_combout ), .datab(\bin2bcd_0|shift~35_combout ), .datac(\bin2bcd_0|shift~39_combout ), .datad(\bin2bcd_0|shift~40_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~42_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~42 .lut_mask = 16'h508C; defparam \bin2bcd_0|shift~42 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N30 cycloneive_lcell_comb \bin2bcd_0|shift~47 ( // Equation(s): // \bin2bcd_0|shift~47_combout = (\cntr_updn_14_bit_0|count_out [12] & (\cntr_updn_14_bit_0|count_out [11] & (\cntr_updn_14_bit_0|count_out [13] & \cntr_updn_14_bit_0|count_out [10]))) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(\cntr_updn_14_bit_0|count_out [13]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~47_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~47 .lut_mask = 16'h8000; defparam \bin2bcd_0|shift~47 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N28 cycloneive_lcell_comb \bin2bcd_0|shift~48 ( // Equation(s): // \bin2bcd_0|shift~48_combout = (\bin2bcd_0|shift~47_combout ) # ((!\bin2bcd_0|shift~33_combout & !\bin2bcd_0|LessThan0~0_combout )) .dataa(\bin2bcd_0|shift~47_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~33_combout ), .datad(\bin2bcd_0|LessThan0~0_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~48_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~48 .lut_mask = 16'hAAAF; defparam \bin2bcd_0|shift~48 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N10 cycloneive_lcell_comb \bin2bcd_0|LessThan6~0 ( // Equation(s): // \bin2bcd_0|LessThan6~0_combout = (!\bin2bcd_0|shift~35_combout & (((!\bin2bcd_0|shift~41_combout & \bin2bcd_0|shift~39_combout )) # (!\bin2bcd_0|shift~40_combout ))) .dataa(\bin2bcd_0|shift~41_combout ), .datab(\bin2bcd_0|shift~35_combout ), .datac(\bin2bcd_0|shift~39_combout ), .datad(\bin2bcd_0|shift~40_combout ), .cin(gnd), .combout(\bin2bcd_0|LessThan6~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|LessThan6~0 .lut_mask = 16'h1033; defparam \bin2bcd_0|LessThan6~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N20 cycloneive_lcell_comb \bin2bcd_0|shift~49 ( // Equation(s): // \bin2bcd_0|shift~49_combout = (\bin2bcd_0|LessThan6~0_combout & (((\bin2bcd_0|shift~48_combout )))) # (!\bin2bcd_0|LessThan6~0_combout & (\bin2bcd_0|shift~41_combout $ (((\bin2bcd_0|shift~39_combout ))))) .dataa(\bin2bcd_0|shift~41_combout ), .datab(\bin2bcd_0|shift~48_combout ), .datac(\bin2bcd_0|shift~39_combout ), .datad(\bin2bcd_0|LessThan6~0_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~49_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~49 .lut_mask = 16'hCC5A; defparam \bin2bcd_0|shift~49 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N26 cycloneive_lcell_comb \bin2bcd_0|shift~50 ( // Equation(s): // \bin2bcd_0|shift~50_combout = (\bin2bcd_0|shift~35_combout & (((\bin2bcd_0|shift~39_combout )))) # (!\bin2bcd_0|shift~35_combout & ((\bin2bcd_0|shift~39_combout & (\bin2bcd_0|shift~41_combout & \bin2bcd_0|shift~40_combout )) # // (!\bin2bcd_0|shift~39_combout & ((!\bin2bcd_0|shift~40_combout ))))) .dataa(\bin2bcd_0|shift~41_combout ), .datab(\bin2bcd_0|shift~35_combout ), .datac(\bin2bcd_0|shift~39_combout ), .datad(\bin2bcd_0|shift~40_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~50_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~50 .lut_mask = 16'hE0C3; defparam \bin2bcd_0|shift~50 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y29_N20 cycloneive_lcell_comb \bin2bcd_0|shift~46 ( // Equation(s): // \bin2bcd_0|shift~46_combout = \bin2bcd_0|shift~45_combout $ ((((\cntr_updn_14_bit_0|count_out [7] & \bin2bcd_0|shift~44_combout )) # (!\bin2bcd_0|shift~43_combout ))) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(\bin2bcd_0|shift~44_combout ), .datac(\bin2bcd_0|shift~43_combout ), .datad(\bin2bcd_0|shift~45_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~46_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~46 .lut_mask = 16'h708F; defparam \bin2bcd_0|shift~46 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N24 cycloneive_lcell_comb \bin2bcd_0|shift~57 ( // Equation(s): // \bin2bcd_0|shift~57_combout = (\bin2bcd_0|shift~42_combout & (((\bin2bcd_0|shift~46_combout )))) # (!\bin2bcd_0|shift~42_combout & ((\bin2bcd_0|shift~49_combout & (\bin2bcd_0|shift~50_combout & \bin2bcd_0|shift~46_combout )) # // (!\bin2bcd_0|shift~49_combout & ((!\bin2bcd_0|shift~46_combout ))))) .dataa(\bin2bcd_0|shift~42_combout ), .datab(\bin2bcd_0|shift~49_combout ), .datac(\bin2bcd_0|shift~50_combout ), .datad(\bin2bcd_0|shift~46_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~57_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~57 .lut_mask = 16'hEA11; defparam \bin2bcd_0|shift~57 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N4 cycloneive_lcell_comb \bin2bcd_0|shift~51 ( // Equation(s): // \bin2bcd_0|shift~51_combout = (\bin2bcd_0|shift~49_combout & (((!\bin2bcd_0|shift~50_combout & \bin2bcd_0|shift~46_combout )))) # (!\bin2bcd_0|shift~49_combout & (\bin2bcd_0|shift~42_combout & ((\bin2bcd_0|shift~50_combout ) # // (!\bin2bcd_0|shift~46_combout )))) .dataa(\bin2bcd_0|shift~42_combout ), .datab(\bin2bcd_0|shift~49_combout ), .datac(\bin2bcd_0|shift~50_combout ), .datad(\bin2bcd_0|shift~46_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~51_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~51 .lut_mask = 16'h2C22; defparam \bin2bcd_0|shift~51 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N30 cycloneive_lcell_comb \bin2bcd_0|shift~56 ( // Equation(s): // \bin2bcd_0|shift~56_combout = (\bin2bcd_0|shift~42_combout & ((\bin2bcd_0|shift~50_combout $ (\bin2bcd_0|shift~46_combout )))) # (!\bin2bcd_0|shift~42_combout & (\bin2bcd_0|shift~50_combout & ((!\bin2bcd_0|shift~46_combout ) # // (!\bin2bcd_0|shift~49_combout )))) .dataa(\bin2bcd_0|shift~42_combout ), .datab(\bin2bcd_0|shift~49_combout ), .datac(\bin2bcd_0|shift~50_combout ), .datad(\bin2bcd_0|shift~46_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~56_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~56 .lut_mask = 16'h1AF0; defparam \bin2bcd_0|shift~56 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N20 cycloneive_lcell_comb \bin2bcd_0|shift~55 ( // Equation(s): // \bin2bcd_0|shift~55_combout = \bin2bcd_0|shift~54_combout $ ((((\bin2bcd_0|shift~53_combout & \cntr_updn_14_bit_0|count_out [6])) # (!\bin2bcd_0|shift~52_combout ))) .dataa(\bin2bcd_0|shift~53_combout ), .datab(\bin2bcd_0|shift~54_combout ), .datac(\cntr_updn_14_bit_0|count_out [6]), .datad(\bin2bcd_0|shift~52_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~55_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~55 .lut_mask = 16'h6C33; defparam \bin2bcd_0|shift~55 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N12 cycloneive_lcell_comb \bin2bcd_0|shift~64 ( // Equation(s): // \bin2bcd_0|shift~64_combout = (\bin2bcd_0|shift~51_combout & (((\bin2bcd_0|shift~55_combout )))) # (!\bin2bcd_0|shift~51_combout & ((\bin2bcd_0|shift~56_combout & (\bin2bcd_0|shift~57_combout & \bin2bcd_0|shift~55_combout )) # // (!\bin2bcd_0|shift~56_combout & ((!\bin2bcd_0|shift~55_combout ))))) .dataa(\bin2bcd_0|shift~57_combout ), .datab(\bin2bcd_0|shift~51_combout ), .datac(\bin2bcd_0|shift~56_combout ), .datad(\bin2bcd_0|shift~55_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~64_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~64 .lut_mask = 16'hEC03; defparam \bin2bcd_0|shift~64 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N2 cycloneive_lcell_comb \bin2bcd_0|shift~63 ( // Equation(s): // \bin2bcd_0|shift~63_combout = (\bin2bcd_0|shift~57_combout & (((!\bin2bcd_0|shift~51_combout & !\bin2bcd_0|shift~56_combout )) # (!\bin2bcd_0|shift~55_combout ))) # (!\bin2bcd_0|shift~57_combout & (\bin2bcd_0|shift~51_combout & // ((\bin2bcd_0|shift~55_combout )))) .dataa(\bin2bcd_0|shift~57_combout ), .datab(\bin2bcd_0|shift~51_combout ), .datac(\bin2bcd_0|shift~56_combout ), .datad(\bin2bcd_0|shift~55_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~63_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~63 .lut_mask = 16'h46AA; defparam \bin2bcd_0|shift~63 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N4 cycloneive_lcell_comb \bin2bcd_0|shift~58 ( // Equation(s): // \bin2bcd_0|shift~58_combout = (\bin2bcd_0|shift~56_combout & (!\bin2bcd_0|shift~57_combout & ((\bin2bcd_0|shift~55_combout )))) # (!\bin2bcd_0|shift~56_combout & (\bin2bcd_0|shift~51_combout & ((\bin2bcd_0|shift~57_combout ) # // (!\bin2bcd_0|shift~55_combout )))) .dataa(\bin2bcd_0|shift~57_combout ), .datab(\bin2bcd_0|shift~51_combout ), .datac(\bin2bcd_0|shift~56_combout ), .datad(\bin2bcd_0|shift~55_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~58_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~58 .lut_mask = 16'h580C; defparam \bin2bcd_0|shift~58 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N12 cycloneive_lcell_comb \bin2bcd_0|shift~62 ( // Equation(s): // \bin2bcd_0|shift~62_combout = \bin2bcd_0|shift~61_combout $ ((((\cntr_updn_14_bit_0|count_out [5] & \bin2bcd_0|shift~60_combout )) # (!\bin2bcd_0|shift~59_combout ))) .dataa(\bin2bcd_0|shift~61_combout ), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(\bin2bcd_0|shift~60_combout ), .datad(\bin2bcd_0|shift~59_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~62_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~62 .lut_mask = 16'h6A55; defparam \bin2bcd_0|shift~62 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N6 cycloneive_lcell_comb \bin2bcd_0|shift~67 ( // Equation(s): // \bin2bcd_0|shift~67_combout = (\bin2bcd_0|shift~63_combout & (\bin2bcd_0|shift~62_combout & ((\bin2bcd_0|shift~64_combout ) # (\bin2bcd_0|shift~58_combout )))) # (!\bin2bcd_0|shift~63_combout & ((\bin2bcd_0|shift~58_combout $ // (!\bin2bcd_0|shift~62_combout )))) .dataa(\bin2bcd_0|shift~64_combout ), .datab(\bin2bcd_0|shift~63_combout ), .datac(\bin2bcd_0|shift~58_combout ), .datad(\bin2bcd_0|shift~62_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~67_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~67 .lut_mask = 16'hF803; defparam \bin2bcd_0|shift~67 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N16 cycloneive_lcell_comb \bin2bcd_0|shift~66 ( // Equation(s): // \bin2bcd_0|shift~66_combout = (\bin2bcd_0|shift~64_combout & (((!\bin2bcd_0|shift~63_combout & !\bin2bcd_0|shift~58_combout )) # (!\bin2bcd_0|shift~62_combout ))) # (!\bin2bcd_0|shift~64_combout & (((\bin2bcd_0|shift~58_combout & // \bin2bcd_0|shift~62_combout )))) .dataa(\bin2bcd_0|shift~64_combout ), .datab(\bin2bcd_0|shift~63_combout ), .datac(\bin2bcd_0|shift~58_combout ), .datad(\bin2bcd_0|shift~62_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~66_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~66 .lut_mask = 16'h52AA; defparam \bin2bcd_0|shift~66 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N30 cycloneive_lcell_comb \bin2bcd_0|shift~65 ( // Equation(s): // \bin2bcd_0|shift~65_combout = (\bin2bcd_0|shift~63_combout & (!\bin2bcd_0|shift~64_combout & ((\bin2bcd_0|shift~62_combout )))) # (!\bin2bcd_0|shift~63_combout & (\bin2bcd_0|shift~58_combout & ((\bin2bcd_0|shift~64_combout ) # // (!\bin2bcd_0|shift~62_combout )))) .dataa(\bin2bcd_0|shift~64_combout ), .datab(\bin2bcd_0|shift~63_combout ), .datac(\bin2bcd_0|shift~58_combout ), .datad(\bin2bcd_0|shift~62_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~65_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~65 .lut_mask = 16'h6430; defparam \bin2bcd_0|shift~65 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y29_N28 cycloneive_lcell_comb \bin2bcd_0|shift~71 ( // Equation(s): // \bin2bcd_0|shift~71_combout = \bin2bcd_0|shift~69_combout $ ((((\bin2bcd_0|shift~70_combout & !\cntr_updn_14_bit_0|count_out [4])) # (!\bin2bcd_0|shift~68_combout ))) .dataa(\bin2bcd_0|shift~70_combout ), .datab(\bin2bcd_0|shift~69_combout ), .datac(\bin2bcd_0|shift~68_combout ), .datad(\cntr_updn_14_bit_0|count_out [4]), .cin(gnd), .combout(\bin2bcd_0|shift~71_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~71 .lut_mask = 16'hC363; defparam \bin2bcd_0|shift~71 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N20 cycloneive_lcell_comb \bin2bcd_0|shift~72 ( // Equation(s): // \bin2bcd_0|shift~72_combout = (\bin2bcd_0|shift~67_combout & (((!\bin2bcd_0|shift~66_combout & !\bin2bcd_0|shift~65_combout )) # (!\bin2bcd_0|shift~71_combout ))) # (!\bin2bcd_0|shift~67_combout & (((\bin2bcd_0|shift~65_combout & // \bin2bcd_0|shift~71_combout )))) .dataa(\bin2bcd_0|shift~67_combout ), .datab(\bin2bcd_0|shift~66_combout ), .datac(\bin2bcd_0|shift~65_combout ), .datad(\bin2bcd_0|shift~71_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~72_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~72 .lut_mask = 16'h52AA; defparam \bin2bcd_0|shift~72 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N26 cycloneive_lcell_comb \bin2bcd_0|shift~73 ( // Equation(s): // \bin2bcd_0|shift~73_combout = (\bin2bcd_0|shift~66_combout & (\bin2bcd_0|shift~71_combout & ((\bin2bcd_0|shift~67_combout ) # (\bin2bcd_0|shift~65_combout )))) # (!\bin2bcd_0|shift~66_combout & ((\bin2bcd_0|shift~65_combout $ // (!\bin2bcd_0|shift~71_combout )))) .dataa(\bin2bcd_0|shift~67_combout ), .datab(\bin2bcd_0|shift~66_combout ), .datac(\bin2bcd_0|shift~65_combout ), .datad(\bin2bcd_0|shift~71_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~73_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~73 .lut_mask = 16'hF803; defparam \bin2bcd_0|shift~73 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N24 cycloneive_lcell_comb \bin2bcd_0|shift~74 ( // Equation(s): // \bin2bcd_0|shift~74_combout = (\bin2bcd_0|shift~66_combout & (!\bin2bcd_0|shift~67_combout & ((\bin2bcd_0|shift~71_combout )))) # (!\bin2bcd_0|shift~66_combout & (\bin2bcd_0|shift~65_combout & ((\bin2bcd_0|shift~67_combout ) # // (!\bin2bcd_0|shift~71_combout )))) .dataa(\bin2bcd_0|shift~67_combout ), .datab(\bin2bcd_0|shift~66_combout ), .datac(\bin2bcd_0|shift~65_combout ), .datad(\bin2bcd_0|shift~71_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~74_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~74 .lut_mask = 16'h6430; defparam \bin2bcd_0|shift~74 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N18 cycloneive_lcell_comb \bin2bcd_0|shift~101 ( // Equation(s): // \bin2bcd_0|shift~101_combout = (\bin2bcd_0|shift~78_combout & ((\bin2bcd_0|shift~73_combout & (!\bin2bcd_0|shift~72_combout & !\bin2bcd_0|shift~74_combout )) # (!\bin2bcd_0|shift~73_combout & ((\bin2bcd_0|shift~74_combout ))))) # // (!\bin2bcd_0|shift~78_combout & (((\bin2bcd_0|shift~73_combout )))) .dataa(\bin2bcd_0|shift~78_combout ), .datab(\bin2bcd_0|shift~72_combout ), .datac(\bin2bcd_0|shift~73_combout ), .datad(\bin2bcd_0|shift~74_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~101_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~101 .lut_mask = 16'h5A70; defparam \bin2bcd_0|shift~101 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N22 cycloneive_lcell_comb \bin2bcd_0|shift~99 ( // Equation(s): // \bin2bcd_0|shift~99_combout = (\bin2bcd_0|shift~78_combout & ((\bin2bcd_0|shift~74_combout ) # ((\bin2bcd_0|shift~72_combout & \bin2bcd_0|shift~73_combout )))) # (!\bin2bcd_0|shift~78_combout & (!\bin2bcd_0|shift~72_combout & // ((!\bin2bcd_0|shift~74_combout )))) .dataa(\bin2bcd_0|shift~78_combout ), .datab(\bin2bcd_0|shift~72_combout ), .datac(\bin2bcd_0|shift~73_combout ), .datad(\bin2bcd_0|shift~74_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~99_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~99 .lut_mask = 16'hAA91; defparam \bin2bcd_0|shift~99 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N0 cycloneive_lcell_comb \bin2bcd_0|shift~100 ( // Equation(s): // \bin2bcd_0|shift~100_combout = (\bin2bcd_0|shift~72_combout & (\bin2bcd_0|shift~78_combout & (!\bin2bcd_0|shift~73_combout ))) # (!\bin2bcd_0|shift~72_combout & (\bin2bcd_0|shift~74_combout & ((\bin2bcd_0|shift~73_combout ) # // (!\bin2bcd_0|shift~78_combout )))) .dataa(\bin2bcd_0|shift~78_combout ), .datab(\bin2bcd_0|shift~72_combout ), .datac(\bin2bcd_0|shift~73_combout ), .datad(\bin2bcd_0|shift~74_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~100_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~100 .lut_mask = 16'h3908; defparam \bin2bcd_0|shift~100 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N28 cycloneive_lcell_comb \bin2bcd_0|tens[3]~2 ( // Equation(s): // \bin2bcd_0|tens[3]~2_combout = (\bin2bcd_0|shift~101_combout & (\bin2bcd_0|shift~98_combout & (!\bin2bcd_0|shift~99_combout ))) # (!\bin2bcd_0|shift~101_combout & (\bin2bcd_0|shift~100_combout & ((\bin2bcd_0|shift~99_combout ) # // (!\bin2bcd_0|shift~98_combout )))) .dataa(\bin2bcd_0|shift~98_combout ), .datab(\bin2bcd_0|shift~101_combout ), .datac(\bin2bcd_0|shift~99_combout ), .datad(\bin2bcd_0|shift~100_combout ), .cin(gnd), .combout(\bin2bcd_0|tens[3]~2_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|tens[3]~2 .lut_mask = 16'h3908; defparam \bin2bcd_0|tens[3]~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N16 cycloneive_lcell_comb \bin2bcd_0|shift~107 ( // Equation(s): // \bin2bcd_0|shift~107_combout = (!\bin2bcd_0|shift~92_combout & (((\bin2bcd_0|shift~94_combout & !\cntr_updn_14_bit_0|count_out [2])) # (!\bin2bcd_0|shift~93_combout ))) .dataa(\bin2bcd_0|shift~92_combout ), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~93_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~107_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~107 .lut_mask = 16'h0455; defparam \bin2bcd_0|shift~107 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N22 cycloneive_lcell_comb \bin2bcd_0|Add22~0 ( // Equation(s): // \bin2bcd_0|Add22~0_combout = (\bin2bcd_0|shift~94_combout & (((!\cntr_updn_14_bit_0|count_out [2] & !\bin2bcd_0|shift~107_combout )))) # (!\bin2bcd_0|shift~94_combout & ((\cntr_updn_14_bit_0|count_out [1] & ((\cntr_updn_14_bit_0|count_out [2]) # // (\bin2bcd_0|shift~107_combout ))) # (!\cntr_updn_14_bit_0|count_out [1] & (\cntr_updn_14_bit_0|count_out [2] & \bin2bcd_0|shift~107_combout )))) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~107_combout ), .cin(gnd), .combout(\bin2bcd_0|Add22~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|Add22~0 .lut_mask = 16'h322C; defparam \bin2bcd_0|Add22~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N14 cycloneive_lcell_comb \bin2bcd_0|shift~96 ( // Equation(s): // \bin2bcd_0|shift~96_combout = (\bin2bcd_0|shift~93_combout & (((\bin2bcd_0|shift~94_combout & !\cntr_updn_14_bit_0|count_out [2])))) # (!\bin2bcd_0|shift~93_combout & (\bin2bcd_0|shift~92_combout & ((\cntr_updn_14_bit_0|count_out [2]) # // (!\bin2bcd_0|shift~94_combout )))) .dataa(\bin2bcd_0|shift~92_combout ), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~93_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~96_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~96 .lut_mask = 16'h0CA2; defparam \bin2bcd_0|shift~96 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X52_Y17_N6 cycloneive_lcell_comb \digit_clk~0 ( // Equation(s): // \digit_clk~0_combout = \Equal13~combout $ (\digit_clk~q ) .dataa(gnd), .datab(\Equal13~combout ), .datac(\digit_clk~q ), .datad(gnd), .cin(gnd), .combout(\digit_clk~0_combout ), .cout()); // synopsys translate_off defparam \digit_clk~0 .lut_mask = 16'h3C3C; defparam \digit_clk~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X52_Y17_N7 dffeas digit_clk( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[1]~clkctrl_outclk ), .d(\digit_clk~0_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(\digit_clk~q ), .prn(vcc)); // synopsys translate_off defparam digit_clk.is_wysiwyg = "true"; defparam digit_clk.power_up = "low"; // synopsys translate_on // Location: CLKCTRL_G9 cycloneive_clkctrl \digit_clk~clkctrl ( .ena(vcc), .inclk({vcc,vcc,vcc,\digit_clk~q }), .clkselect(2'b00), .devclrn(devclrn), .devpor(devpor), .outclk(\digit_clk~clkctrl_outclk )); // synopsys translate_off defparam \digit_clk~clkctrl .clock_type = "global clock"; defparam \digit_clk~clkctrl .ena_register_mode = "none"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N8 cycloneive_lcell_comb \Equal2~0 ( // Equation(s): // \Equal2~0_combout = (!digit_seq_ps[2] & (digit_seq_ps[1] & digit_seq_ps[0])) .dataa(gnd), .datab(digit_seq_ps[2]), .datac(digit_seq_ps[1]), .datad(digit_seq_ps[0]), .cin(gnd), .combout(\Equal2~0_combout ), .cout()); // synopsys translate_off defparam \Equal2~0 .lut_mask = 16'h3000; defparam \Equal2~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X12_Y30_N5 dffeas \digit_seq_ps[2] ( .clk(\digit_clk~clkctrl_outclk ), .d(gnd), .asdata(\Equal2~0_combout ), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(digit_seq_ps[2]), .prn(vcc)); // synopsys translate_off defparam \digit_seq_ps[2] .is_wysiwyg = "true"; defparam \digit_seq_ps[2] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N26 cycloneive_lcell_comb \Equal1~0 ( // Equation(s): // \Equal1~0_combout = (!digit_seq_ps[2] & !digit_seq_ps[1]) .dataa(gnd), .datab(gnd), .datac(digit_seq_ps[2]), .datad(digit_seq_ps[1]), .cin(gnd), .combout(\Equal1~0_combout ), .cout()); // synopsys translate_off defparam \Equal1~0 .lut_mask = 16'h000F; defparam \Equal1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X12_Y30_N25 dffeas \digit_seq_ps[0] ( .clk(\digit_clk~clkctrl_outclk ), .d(gnd), .asdata(\Equal1~0_combout ), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(digit_seq_ps[0]), .prn(vcc)); // synopsys translate_off defparam \digit_seq_ps[0] .is_wysiwyg = "true"; defparam \digit_seq_ps[0] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N14 cycloneive_lcell_comb \Equal1~1 ( // Equation(s): // \Equal1~1_combout = (digit_seq_ps[0] & (!digit_seq_ps[2] & !digit_seq_ps[1])) .dataa(digit_seq_ps[0]), .datab(digit_seq_ps[2]), .datac(digit_seq_ps[1]), .datad(gnd), .cin(gnd), .combout(\Equal1~1_combout ), .cout()); // synopsys translate_off defparam \Equal1~1 .lut_mask = 16'h0202; defparam \Equal1~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X12_Y30_N15 dffeas \digit_seq_ps[1] ( .clk(\digit_clk~clkctrl_outclk ), .d(\Equal1~1_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(digit_seq_ps[1]), .prn(vcc)); // synopsys translate_off defparam \digit_seq_ps[1] .is_wysiwyg = "true"; defparam \digit_seq_ps[1] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N18 cycloneive_lcell_comb \Equal2~1 ( // Equation(s): // \Equal2~1_combout = (digit_seq_ps[0] & !digit_seq_ps[2]) .dataa(gnd), .datab(gnd), .datac(digit_seq_ps[0]), .datad(digit_seq_ps[2]), .cin(gnd), .combout(\Equal2~1_combout ), .cout()); // synopsys translate_off defparam \Equal2~1 .lut_mask = 16'h00F0; defparam \Equal2~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N0 cycloneive_lcell_comb \Selector2~2 ( // Equation(s): // \Selector2~2_combout = (\Equal2~1_combout & (((digit_seq_ps[1])))) # (!\Equal2~1_combout & ((\bin2bcd_0|Add22~0_combout ) # ((\bin2bcd_0|shift~96_combout )))) .dataa(\bin2bcd_0|Add22~0_combout ), .datab(\bin2bcd_0|shift~96_combout ), .datac(digit_seq_ps[1]), .datad(\Equal2~1_combout ), .cin(gnd), .combout(\Selector2~2_combout ), .cout()); // synopsys translate_off defparam \Selector2~2 .lut_mask = 16'hF0EE; defparam \Selector2~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N22 cycloneive_lcell_comb \bin2bcd_0|shift~108 ( // Equation(s): // \bin2bcd_0|shift~108_combout = \bin2bcd_0|shift~35_combout $ (((\bin2bcd_0|shift~40_combout & ((\bin2bcd_0|shift~41_combout ) # (!\bin2bcd_0|shift~39_combout ))))) .dataa(\bin2bcd_0|shift~41_combout ), .datab(\bin2bcd_0|shift~35_combout ), .datac(\bin2bcd_0|shift~39_combout ), .datad(\bin2bcd_0|shift~40_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~108_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~108 .lut_mask = 16'h63CC; defparam \bin2bcd_0|shift~108 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N8 cycloneive_lcell_comb \bin2bcd_0|LessThan4~0 ( // Equation(s): // \bin2bcd_0|LessThan4~0_combout = (\bin2bcd_0|LessThan0~0_combout & ((\bin2bcd_0|shift~34_combout ) # (!\bin2bcd_0|shift~33_combout ))) .dataa(\bin2bcd_0|shift~34_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~33_combout ), .datad(\bin2bcd_0|LessThan0~0_combout ), .cin(gnd), .combout(\bin2bcd_0|LessThan4~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|LessThan4~0 .lut_mask = 16'hAF00; defparam \bin2bcd_0|LessThan4~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N14 cycloneive_lcell_comb \bin2bcd_0|shift~81 ( // Equation(s): // \bin2bcd_0|shift~81_combout = \bin2bcd_0|shift~51_combout $ ((((!\bin2bcd_0|shift~57_combout & \bin2bcd_0|shift~55_combout )) # (!\bin2bcd_0|shift~56_combout ))) .dataa(\bin2bcd_0|shift~57_combout ), .datab(\bin2bcd_0|shift~51_combout ), .datac(\bin2bcd_0|shift~56_combout ), .datad(\bin2bcd_0|shift~55_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~81_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~81 .lut_mask = 16'h93C3; defparam \bin2bcd_0|shift~81 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X14_Y27_N14 cycloneive_lcell_comb \bin2bcd_0|shift~109 ( // Equation(s): // \bin2bcd_0|shift~109_combout = \bin2bcd_0|shift~42_combout $ ((((!\bin2bcd_0|shift~50_combout & \bin2bcd_0|shift~46_combout )) # (!\bin2bcd_0|shift~49_combout ))) .dataa(\bin2bcd_0|shift~42_combout ), .datab(\bin2bcd_0|shift~49_combout ), .datac(\bin2bcd_0|shift~50_combout ), .datad(\bin2bcd_0|shift~46_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~109_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~109 .lut_mask = 16'h9599; defparam \bin2bcd_0|shift~109 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N10 cycloneive_lcell_comb \bin2bcd_0|shift~84 ( // Equation(s): // \bin2bcd_0|shift~84_combout = (\bin2bcd_0|shift~81_combout & (!\bin2bcd_0|shift~108_combout & (\bin2bcd_0|LessThan4~0_combout $ (!\bin2bcd_0|shift~109_combout )))) # (!\bin2bcd_0|shift~81_combout & (((!\bin2bcd_0|LessThan4~0_combout & // !\bin2bcd_0|shift~109_combout )))) .dataa(\bin2bcd_0|shift~108_combout ), .datab(\bin2bcd_0|LessThan4~0_combout ), .datac(\bin2bcd_0|shift~81_combout ), .datad(\bin2bcd_0|shift~109_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~84_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~84 .lut_mask = 16'h4013; defparam \bin2bcd_0|shift~84 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N28 cycloneive_lcell_comb \bin2bcd_0|shift~85 ( // Equation(s): // \bin2bcd_0|shift~85_combout = (\bin2bcd_0|shift~108_combout & ((\bin2bcd_0|LessThan4~0_combout & (!\bin2bcd_0|shift~81_combout & \bin2bcd_0|shift~109_combout )) # (!\bin2bcd_0|LessThan4~0_combout & (\bin2bcd_0|shift~81_combout & // !\bin2bcd_0|shift~109_combout )))) # (!\bin2bcd_0|shift~108_combout & (\bin2bcd_0|shift~81_combout $ (((!\bin2bcd_0|shift~109_combout ) # (!\bin2bcd_0|LessThan4~0_combout ))))) .dataa(\bin2bcd_0|shift~108_combout ), .datab(\bin2bcd_0|LessThan4~0_combout ), .datac(\bin2bcd_0|shift~81_combout ), .datad(\bin2bcd_0|shift~109_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~85_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~85 .lut_mask = 16'h4925; defparam \bin2bcd_0|shift~85 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N20 cycloneive_lcell_comb \bin2bcd_0|shift~82 ( // Equation(s): // \bin2bcd_0|shift~82_combout = (\bin2bcd_0|shift~108_combout & (\bin2bcd_0|shift~81_combout & (\bin2bcd_0|LessThan4~0_combout $ (\bin2bcd_0|shift~109_combout )))) # (!\bin2bcd_0|shift~108_combout & (\bin2bcd_0|LessThan4~0_combout & // (!\bin2bcd_0|shift~81_combout & \bin2bcd_0|shift~109_combout ))) .dataa(\bin2bcd_0|shift~108_combout ), .datab(\bin2bcd_0|LessThan4~0_combout ), .datac(\bin2bcd_0|shift~81_combout ), .datad(\bin2bcd_0|shift~109_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~82_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~82 .lut_mask = 16'h2480; defparam \bin2bcd_0|shift~82 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N28 cycloneive_lcell_comb \bin2bcd_0|shift~83 ( // Equation(s): // \bin2bcd_0|shift~83_combout = \bin2bcd_0|shift~58_combout $ ((((!\bin2bcd_0|shift~64_combout & \bin2bcd_0|shift~62_combout )) # (!\bin2bcd_0|shift~63_combout ))) .dataa(\bin2bcd_0|shift~64_combout ), .datab(\bin2bcd_0|shift~63_combout ), .datac(\bin2bcd_0|shift~58_combout ), .datad(\bin2bcd_0|shift~62_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~83_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~83 .lut_mask = 16'h87C3; defparam \bin2bcd_0|shift~83 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N30 cycloneive_lcell_comb \bin2bcd_0|shift~88 ( // Equation(s): // \bin2bcd_0|shift~88_combout = (\bin2bcd_0|shift~85_combout & (((!\bin2bcd_0|shift~84_combout & !\bin2bcd_0|shift~82_combout )) # (!\bin2bcd_0|shift~83_combout ))) # (!\bin2bcd_0|shift~85_combout & (((\bin2bcd_0|shift~82_combout & // \bin2bcd_0|shift~83_combout )))) .dataa(\bin2bcd_0|shift~84_combout ), .datab(\bin2bcd_0|shift~85_combout ), .datac(\bin2bcd_0|shift~82_combout ), .datad(\bin2bcd_0|shift~83_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~88_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~88 .lut_mask = 16'h34CC; defparam \bin2bcd_0|shift~88 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N0 cycloneive_lcell_comb \bin2bcd_0|shift~87 ( // Equation(s): // \bin2bcd_0|shift~87_combout = (\bin2bcd_0|shift~84_combout & (\bin2bcd_0|shift~83_combout & ((\bin2bcd_0|shift~85_combout ) # (\bin2bcd_0|shift~82_combout )))) # (!\bin2bcd_0|shift~84_combout & ((\bin2bcd_0|shift~82_combout $ // (!\bin2bcd_0|shift~83_combout )))) .dataa(\bin2bcd_0|shift~84_combout ), .datab(\bin2bcd_0|shift~85_combout ), .datac(\bin2bcd_0|shift~82_combout ), .datad(\bin2bcd_0|shift~83_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~87_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~87 .lut_mask = 16'hF805; defparam \bin2bcd_0|shift~87 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N14 cycloneive_lcell_comb \bin2bcd_0|shift~86 ( // Equation(s): // \bin2bcd_0|shift~86_combout = (\bin2bcd_0|shift~84_combout & (!\bin2bcd_0|shift~85_combout & ((\bin2bcd_0|shift~83_combout )))) # (!\bin2bcd_0|shift~84_combout & (\bin2bcd_0|shift~82_combout & ((\bin2bcd_0|shift~85_combout ) # // (!\bin2bcd_0|shift~83_combout )))) .dataa(\bin2bcd_0|shift~84_combout ), .datab(\bin2bcd_0|shift~85_combout ), .datac(\bin2bcd_0|shift~82_combout ), .datad(\bin2bcd_0|shift~83_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~86_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~86 .lut_mask = 16'h6250; defparam \bin2bcd_0|shift~86 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N8 cycloneive_lcell_comb \bin2bcd_0|shift~80 ( // Equation(s): // \bin2bcd_0|shift~80_combout = \bin2bcd_0|shift~65_combout $ ((((!\bin2bcd_0|shift~67_combout & \bin2bcd_0|shift~71_combout )) # (!\bin2bcd_0|shift~66_combout ))) .dataa(\bin2bcd_0|shift~67_combout ), .datab(\bin2bcd_0|shift~66_combout ), .datac(\bin2bcd_0|shift~65_combout ), .datad(\bin2bcd_0|shift~71_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~80_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~80 .lut_mask = 16'h87C3; defparam \bin2bcd_0|shift~80 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N12 cycloneive_lcell_comb \bin2bcd_0|shift~91 ( // Equation(s): // \bin2bcd_0|shift~91_combout = (\bin2bcd_0|shift~87_combout & (((!\bin2bcd_0|shift~88_combout & !\bin2bcd_0|shift~86_combout )) # (!\bin2bcd_0|shift~80_combout ))) # (!\bin2bcd_0|shift~87_combout & (((\bin2bcd_0|shift~86_combout & // \bin2bcd_0|shift~80_combout )))) .dataa(\bin2bcd_0|shift~88_combout ), .datab(\bin2bcd_0|shift~87_combout ), .datac(\bin2bcd_0|shift~86_combout ), .datad(\bin2bcd_0|shift~80_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~91_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~91 .lut_mask = 16'h34CC; defparam \bin2bcd_0|shift~91 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N2 cycloneive_lcell_comb \bin2bcd_0|shift~90 ( // Equation(s): // \bin2bcd_0|shift~90_combout = (\bin2bcd_0|shift~88_combout & (!\bin2bcd_0|shift~87_combout & ((\bin2bcd_0|shift~80_combout )))) # (!\bin2bcd_0|shift~88_combout & (\bin2bcd_0|shift~86_combout & ((\bin2bcd_0|shift~87_combout ) # // (!\bin2bcd_0|shift~80_combout )))) .dataa(\bin2bcd_0|shift~88_combout ), .datab(\bin2bcd_0|shift~87_combout ), .datac(\bin2bcd_0|shift~86_combout ), .datad(\bin2bcd_0|shift~80_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~90_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~90 .lut_mask = 16'h6250; defparam \bin2bcd_0|shift~90 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N4 cycloneive_lcell_comb \bin2bcd_0|shift~89 ( // Equation(s): // \bin2bcd_0|shift~89_combout = (\bin2bcd_0|shift~88_combout & (\bin2bcd_0|shift~80_combout & ((\bin2bcd_0|shift~87_combout ) # (\bin2bcd_0|shift~86_combout )))) # (!\bin2bcd_0|shift~88_combout & ((\bin2bcd_0|shift~86_combout $ // (!\bin2bcd_0|shift~80_combout )))) .dataa(\bin2bcd_0|shift~88_combout ), .datab(\bin2bcd_0|shift~87_combout ), .datac(\bin2bcd_0|shift~86_combout ), .datad(\bin2bcd_0|shift~80_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~89_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~89 .lut_mask = 16'hF805; defparam \bin2bcd_0|shift~89 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y29_N10 cycloneive_lcell_comb \bin2bcd_0|shift~79 ( // Equation(s): // \bin2bcd_0|shift~79_combout = \bin2bcd_0|shift~74_combout $ ((((\bin2bcd_0|shift~78_combout & !\bin2bcd_0|shift~73_combout )) # (!\bin2bcd_0|shift~72_combout ))) .dataa(\bin2bcd_0|shift~78_combout ), .datab(\bin2bcd_0|shift~72_combout ), .datac(\bin2bcd_0|shift~73_combout ), .datad(\bin2bcd_0|shift~74_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~79_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~79 .lut_mask = 16'hC43B; defparam \bin2bcd_0|shift~79 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N24 cycloneive_lcell_comb \bin2bcd_0|hundreds[3]~2 ( // Equation(s): // \bin2bcd_0|hundreds[3]~2_combout = (\bin2bcd_0|shift~91_combout & (((!\bin2bcd_0|shift~89_combout & \bin2bcd_0|shift~79_combout )))) # (!\bin2bcd_0|shift~91_combout & (\bin2bcd_0|shift~90_combout & ((\bin2bcd_0|shift~89_combout ) # // (!\bin2bcd_0|shift~79_combout )))) .dataa(\bin2bcd_0|shift~91_combout ), .datab(\bin2bcd_0|shift~90_combout ), .datac(\bin2bcd_0|shift~89_combout ), .datad(\bin2bcd_0|shift~79_combout ), .cin(gnd), .combout(\bin2bcd_0|hundreds[3]~2_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|hundreds[3]~2 .lut_mask = 16'h4A44; defparam \bin2bcd_0|hundreds[3]~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N26 cycloneive_lcell_comb \Selector0~1 ( // Equation(s): // \Selector0~1_combout = (\Selector2~2_combout & (((\bin2bcd_0|hundreds[3]~2_combout ) # (!\Equal2~1_combout )))) # (!\Selector2~2_combout & (\bin2bcd_0|tens[3]~2_combout & ((\Equal2~1_combout )))) .dataa(\bin2bcd_0|tens[3]~2_combout ), .datab(\Selector2~2_combout ), .datac(\bin2bcd_0|hundreds[3]~2_combout ), .datad(\Equal2~1_combout ), .cin(gnd), .combout(\Selector0~1_combout ), .cout()); // synopsys translate_off defparam \Selector0~1 .lut_mask = 16'hE2CC; defparam \Selector0~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N4 cycloneive_lcell_comb \bin2bcd_0|shift~95 ( // Equation(s): // \bin2bcd_0|shift~95_combout = (\bin2bcd_0|shift~92_combout & (\bin2bcd_0|shift~94_combout $ ((\cntr_updn_14_bit_0|count_out [2])))) # (!\bin2bcd_0|shift~92_combout & (!\bin2bcd_0|shift~94_combout & ((\cntr_updn_14_bit_0|count_out [2]) # // (!\bin2bcd_0|shift~93_combout )))) .dataa(\bin2bcd_0|shift~92_combout ), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~93_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~95_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~95 .lut_mask = 16'h3839; defparam \bin2bcd_0|shift~95 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N12 cycloneive_lcell_comb \bin2bcd_0|Add22~1 ( // Equation(s): // \bin2bcd_0|Add22~1_combout = (\cntr_updn_14_bit_0|count_out [1]) # (\cntr_updn_14_bit_0|count_out [2] $ (!\bin2bcd_0|shift~107_combout )) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(gnd), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~107_combout ), .cin(gnd), .combout(\bin2bcd_0|Add22~1_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|Add22~1 .lut_mask = 16'hFAAF; defparam \bin2bcd_0|Add22~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N28 cycloneive_lcell_comb \Selector0~2 ( // Equation(s): // \Selector0~2_combout = (\Equal2~1_combout & (\Selector0~1_combout )) # (!\Equal2~1_combout & (\bin2bcd_0|shift~95_combout $ (((\Selector0~1_combout & \bin2bcd_0|Add22~1_combout ))))) .dataa(\Selector0~1_combout ), .datab(\Equal2~1_combout ), .datac(\bin2bcd_0|shift~95_combout ), .datad(\bin2bcd_0|Add22~1_combout ), .cin(gnd), .combout(\Selector0~2_combout ), .cout()); // synopsys translate_off defparam \Selector0~2 .lut_mask = 16'h9AB8; defparam \Selector0~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N4 cycloneive_lcell_comb \Equal3~0 ( // Equation(s): // \Equal3~0_combout = (!digit_seq_ps[0] & (digit_seq_ps[2] & !digit_seq_ps[1])) .dataa(digit_seq_ps[0]), .datab(gnd), .datac(digit_seq_ps[2]), .datad(digit_seq_ps[1]), .cin(gnd), .combout(\Equal3~0_combout ), .cout()); // synopsys translate_off defparam \Equal3~0 .lut_mask = 16'h0050; defparam \Equal3~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N4 cycloneive_lcell_comb \bin2bcd_0|shift~3 ( // Equation(s): // \bin2bcd_0|shift~3_combout = (!\cntr_updn_14_bit_0|count_out [9] & (\cntr_updn_14_bit_0|count_out [10] & ((!\cntr_updn_14_bit_0|count_out [8]) # (!\cntr_updn_14_bit_0|count_out [7])))) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(\cntr_updn_14_bit_0|count_out [9]), .datac(\cntr_updn_14_bit_0|count_out [8]), .datad(\cntr_updn_14_bit_0|count_out [10]), .cin(gnd), .combout(\bin2bcd_0|shift~3_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~3 .lut_mask = 16'h1300; defparam \bin2bcd_0|shift~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N2 cycloneive_lcell_comb \bin2bcd_0|shift~110 ( // Equation(s): // \bin2bcd_0|shift~110_combout = (\cntr_updn_14_bit_0|count_out [11] & (\cntr_updn_14_bit_0|count_out [12] & (\bin2bcd_0|shift~3_combout & \cntr_updn_14_bit_0|count_out [13]))) .dataa(\cntr_updn_14_bit_0|count_out [11]), .datab(\cntr_updn_14_bit_0|count_out [12]), .datac(\bin2bcd_0|shift~3_combout ), .datad(\cntr_updn_14_bit_0|count_out [13]), .cin(gnd), .combout(\bin2bcd_0|shift~110_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~110 .lut_mask = 16'h8000; defparam \bin2bcd_0|shift~110 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N22 cycloneive_lcell_comb \bin2bcd_0|shift~104 ( // Equation(s): // \bin2bcd_0|shift~104_combout = \bin2bcd_0|shift~82_combout $ ((((!\bin2bcd_0|shift~85_combout & \bin2bcd_0|shift~83_combout )) # (!\bin2bcd_0|shift~84_combout ))) .dataa(\bin2bcd_0|shift~82_combout ), .datab(\bin2bcd_0|shift~84_combout ), .datac(\bin2bcd_0|shift~85_combout ), .datad(\bin2bcd_0|shift~83_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~104_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~104 .lut_mask = 16'h9599; defparam \bin2bcd_0|shift~104 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N26 cycloneive_lcell_comb \bin2bcd_0|shift~103 ( // Equation(s): // \bin2bcd_0|shift~103_combout = (\bin2bcd_0|shift~108_combout & ((\bin2bcd_0|LessThan4~0_combout & ((\bin2bcd_0|shift~81_combout ) # (\bin2bcd_0|shift~109_combout ))) # (!\bin2bcd_0|LessThan4~0_combout & (\bin2bcd_0|shift~81_combout & // \bin2bcd_0|shift~109_combout )))) # (!\bin2bcd_0|shift~108_combout & (((!\bin2bcd_0|shift~109_combout )) # (!\bin2bcd_0|LessThan4~0_combout ))) .dataa(\bin2bcd_0|shift~108_combout ), .datab(\bin2bcd_0|LessThan4~0_combout ), .datac(\bin2bcd_0|shift~81_combout ), .datad(\bin2bcd_0|shift~109_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~103_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~103 .lut_mask = 16'hB9D5; defparam \bin2bcd_0|shift~103 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N16 cycloneive_lcell_comb \bin2bcd_0|shift~105 ( // Equation(s): // \bin2bcd_0|shift~105_combout = (\bin2bcd_0|shift~104_combout & (!\bin2bcd_0|shift~103_combout & \bin2bcd_0|shift~110_combout )) # (!\bin2bcd_0|shift~104_combout & ((!\bin2bcd_0|shift~110_combout ))) .dataa(\bin2bcd_0|shift~104_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~103_combout ), .datad(\bin2bcd_0|shift~110_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~105_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~105 .lut_mask = 16'h0A55; defparam \bin2bcd_0|shift~105 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N26 cycloneive_lcell_comb \bin2bcd_0|shift~106 ( // Equation(s): // \bin2bcd_0|shift~106_combout = (!\bin2bcd_0|shift~103_combout & ((!\bin2bcd_0|shift~110_combout ) # (!\bin2bcd_0|shift~104_combout ))) .dataa(\bin2bcd_0|shift~104_combout ), .datab(gnd), .datac(\bin2bcd_0|shift~103_combout ), .datad(\bin2bcd_0|shift~110_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~106_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~106 .lut_mask = 16'h050F; defparam \bin2bcd_0|shift~106 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N16 cycloneive_lcell_comb \bin2bcd_0|shift~102 ( // Equation(s): // \bin2bcd_0|shift~102_combout = \bin2bcd_0|shift~86_combout $ ((((!\bin2bcd_0|shift~87_combout & \bin2bcd_0|shift~80_combout )) # (!\bin2bcd_0|shift~88_combout ))) .dataa(\bin2bcd_0|shift~88_combout ), .datab(\bin2bcd_0|shift~87_combout ), .datac(\bin2bcd_0|shift~86_combout ), .datad(\bin2bcd_0|shift~80_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~102_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~102 .lut_mask = 16'h87A5; defparam \bin2bcd_0|shift~102 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N28 cycloneive_lcell_comb \Selector0~0 ( // Equation(s): // \Selector0~0_combout = (\bin2bcd_0|shift~102_combout & (((!\bin2bcd_0|shift~105_combout & \bin2bcd_0|shift~106_combout )))) # (!\bin2bcd_0|shift~102_combout & (\bin2bcd_0|shift~110_combout )) .dataa(\bin2bcd_0|shift~110_combout ), .datab(\bin2bcd_0|shift~105_combout ), .datac(\bin2bcd_0|shift~106_combout ), .datad(\bin2bcd_0|shift~102_combout ), .cin(gnd), .combout(\Selector0~0_combout ), .cout()); // synopsys translate_off defparam \Selector0~0 .lut_mask = 16'h30AA; defparam \Selector0~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N10 cycloneive_lcell_comb \Selector0~3 ( // Equation(s): // \Selector0~3_combout = (\Equal3~0_combout & ((\Selector0~0_combout ))) # (!\Equal3~0_combout & (\Selector0~2_combout )) .dataa(gnd), .datab(\Selector0~2_combout ), .datac(\Equal3~0_combout ), .datad(\Selector0~0_combout ), .cin(gnd), .combout(\Selector0~3_combout ), .cout()); // synopsys translate_off defparam \Selector0~3 .lut_mask = 16'hFC0C; defparam \Selector0~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N18 cycloneive_lcell_comb \Selector3~2 ( // Equation(s): // \Selector3~2_combout = \bin2bcd_0|shift~90_combout $ (((\bin2bcd_0|shift~91_combout & ((\bin2bcd_0|shift~89_combout ) # (!\bin2bcd_0|shift~79_combout ))))) .dataa(\bin2bcd_0|shift~91_combout ), .datab(\bin2bcd_0|shift~90_combout ), .datac(\bin2bcd_0|shift~89_combout ), .datad(\bin2bcd_0|shift~79_combout ), .cin(gnd), .combout(\Selector3~2_combout ), .cout()); // synopsys translate_off defparam \Selector3~2 .lut_mask = 16'h6C66; defparam \Selector3~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N18 cycloneive_lcell_comb \Selector3~6 ( // Equation(s): // \Selector3~6_combout = (!digit_seq_ps[0] & (!digit_seq_ps[1] & (\Selector3~2_combout & digit_seq_ps[2]))) .dataa(digit_seq_ps[0]), .datab(digit_seq_ps[1]), .datac(\Selector3~2_combout ), .datad(digit_seq_ps[2]), .cin(gnd), .combout(\Selector3~6_combout ), .cout()); // synopsys translate_off defparam \Selector3~6 .lut_mask = 16'h1000; defparam \Selector3~6 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N2 cycloneive_lcell_comb \Selector3~4 ( // Equation(s): // \Selector3~4_combout = \bin2bcd_0|shift~100_combout $ (((\bin2bcd_0|shift~101_combout & ((\bin2bcd_0|shift~99_combout ) # (!\bin2bcd_0|shift~98_combout ))))) .dataa(\bin2bcd_0|shift~98_combout ), .datab(\bin2bcd_0|shift~101_combout ), .datac(\bin2bcd_0|shift~99_combout ), .datad(\bin2bcd_0|shift~100_combout ), .cin(gnd), .combout(\Selector3~4_combout ), .cout()); // synopsys translate_off defparam \Selector3~4 .lut_mask = 16'h3BC4; defparam \Selector3~4 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N20 cycloneive_lcell_comb \bin2bcd_0|shift~97 ( // Equation(s): // \bin2bcd_0|shift~97_combout = (\bin2bcd_0|shift~92_combout & (((\cntr_updn_14_bit_0|count_out [2])))) # (!\bin2bcd_0|shift~92_combout & ((\cntr_updn_14_bit_0|count_out [2] & ((\bin2bcd_0|shift~93_combout ))) # (!\cntr_updn_14_bit_0|count_out [2] & // ((\bin2bcd_0|shift~94_combout ) # (!\bin2bcd_0|shift~93_combout ))))) .dataa(\bin2bcd_0|shift~92_combout ), .datab(\bin2bcd_0|shift~94_combout ), .datac(\cntr_updn_14_bit_0|count_out [2]), .datad(\bin2bcd_0|shift~93_combout ), .cin(gnd), .combout(\bin2bcd_0|shift~97_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|shift~97 .lut_mask = 16'hF4A5; defparam \bin2bcd_0|shift~97 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N10 cycloneive_lcell_comb \bin2bcd_0|tens[0]~0 ( // Equation(s): // \bin2bcd_0|tens[0]~0_combout = \bin2bcd_0|shift~96_combout $ ((((!\cntr_updn_14_bit_0|count_out [1] & \bin2bcd_0|shift~97_combout )) # (!\bin2bcd_0|shift~95_combout ))) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(\bin2bcd_0|shift~95_combout ), .datac(\bin2bcd_0|shift~96_combout ), .datad(\bin2bcd_0|shift~97_combout ), .cin(gnd), .combout(\bin2bcd_0|tens[0]~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|tens[0]~0 .lut_mask = 16'h87C3; defparam \bin2bcd_0|tens[0]~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N24 cycloneive_lcell_comb \Selector3~3 ( // Equation(s): // \Selector3~3_combout = (\Equal1~0_combout & ((digit_seq_ps[0] & ((!\bin2bcd_0|tens[0]~0_combout ))) # (!digit_seq_ps[0] & (\cntr_updn_14_bit_0|count_out [0])))) .dataa(\Equal1~0_combout ), .datab(\cntr_updn_14_bit_0|count_out [0]), .datac(digit_seq_ps[0]), .datad(\bin2bcd_0|tens[0]~0_combout ), .cin(gnd), .combout(\Selector3~3_combout ), .cout()); // synopsys translate_off defparam \Selector3~3 .lut_mask = 16'h08A8; defparam \Selector3~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N12 cycloneive_lcell_comb \Selector3~5 ( // Equation(s): // \Selector3~5_combout = (\Selector3~6_combout ) # ((\Selector3~3_combout ) # ((\Equal2~0_combout & \Selector3~4_combout ))) .dataa(\Equal2~0_combout ), .datab(\Selector3~6_combout ), .datac(\Selector3~4_combout ), .datad(\Selector3~3_combout ), .cin(gnd), .combout(\Selector3~5_combout ), .cout()); // synopsys translate_off defparam \Selector3~5 .lut_mask = 16'hFFEC; defparam \Selector3~5 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N30 cycloneive_lcell_comb \bin2bcd_0|tens[2]~1 ( // Equation(s): // \bin2bcd_0|tens[2]~1_combout = (\bin2bcd_0|shift~98_combout & ((\bin2bcd_0|shift~99_combout & (!\bin2bcd_0|shift~101_combout & !\bin2bcd_0|shift~100_combout )) # (!\bin2bcd_0|shift~99_combout & ((\bin2bcd_0|shift~100_combout ))))) # // (!\bin2bcd_0|shift~98_combout & (((\bin2bcd_0|shift~99_combout )))) .dataa(\bin2bcd_0|shift~98_combout ), .datab(\bin2bcd_0|shift~101_combout ), .datac(\bin2bcd_0|shift~99_combout ), .datad(\bin2bcd_0|shift~100_combout ), .cin(gnd), .combout(\bin2bcd_0|tens[2]~1_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|tens[2]~1 .lut_mask = 16'h5A70; defparam \bin2bcd_0|tens[2]~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N6 cycloneive_lcell_comb \bin2bcd_0|hundreds[2]~1 ( // Equation(s): // \bin2bcd_0|hundreds[2]~1_combout = (\bin2bcd_0|shift~90_combout & ((\bin2bcd_0|shift~89_combout $ (\bin2bcd_0|shift~79_combout )))) # (!\bin2bcd_0|shift~90_combout & (\bin2bcd_0|shift~89_combout & ((!\bin2bcd_0|shift~79_combout ) # // (!\bin2bcd_0|shift~91_combout )))) .dataa(\bin2bcd_0|shift~91_combout ), .datab(\bin2bcd_0|shift~90_combout ), .datac(\bin2bcd_0|shift~89_combout ), .datad(\bin2bcd_0|shift~79_combout ), .cin(gnd), .combout(\bin2bcd_0|hundreds[2]~1_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|hundreds[2]~1 .lut_mask = 16'h1CF0; defparam \bin2bcd_0|hundreds[2]~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N8 cycloneive_lcell_comb \Selector1~0 ( // Equation(s): // \Selector1~0_combout = (\Selector2~2_combout & (((\bin2bcd_0|hundreds[2]~1_combout ) # (!\Equal2~1_combout )))) # (!\Selector2~2_combout & (\bin2bcd_0|tens[2]~1_combout & ((\Equal2~1_combout )))) .dataa(\bin2bcd_0|tens[2]~1_combout ), .datab(\Selector2~2_combout ), .datac(\bin2bcd_0|hundreds[2]~1_combout ), .datad(\Equal2~1_combout ), .cin(gnd), .combout(\Selector1~0_combout ), .cout()); // synopsys translate_off defparam \Selector1~0 .lut_mask = 16'hE2CC; defparam \Selector1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N6 cycloneive_lcell_comb \Selector1~1 ( // Equation(s): // \Selector1~1_combout = (\Equal2~1_combout & (((!\Selector1~0_combout )))) # (!\Equal2~1_combout & (\bin2bcd_0|shift~97_combout $ (((!\cntr_updn_14_bit_0|count_out [1] & \Selector1~0_combout ))))) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(\Equal2~1_combout ), .datac(\Selector1~0_combout ), .datad(\bin2bcd_0|shift~97_combout ), .cin(gnd), .combout(\Selector1~1_combout ), .cout()); // synopsys translate_off defparam \Selector1~1 .lut_mask = 16'h2F1C; defparam \Selector1~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N20 cycloneive_lcell_comb \bin2bcd_0|thousands[2]~1 ( // Equation(s): // \bin2bcd_0|thousands[2]~1_combout = (\bin2bcd_0|shift~110_combout & (\bin2bcd_0|shift~105_combout $ (((\bin2bcd_0|shift~102_combout ))))) # (!\bin2bcd_0|shift~110_combout & (\bin2bcd_0|shift~105_combout & ((!\bin2bcd_0|shift~102_combout ) # // (!\bin2bcd_0|shift~106_combout )))) .dataa(\bin2bcd_0|shift~110_combout ), .datab(\bin2bcd_0|shift~105_combout ), .datac(\bin2bcd_0|shift~106_combout ), .datad(\bin2bcd_0|shift~102_combout ), .cin(gnd), .combout(\bin2bcd_0|thousands[2]~1_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|thousands[2]~1 .lut_mask = 16'h26CC; defparam \bin2bcd_0|thousands[2]~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N30 cycloneive_lcell_comb \Selector1~2 ( // Equation(s): // \Selector1~2_combout = (\Equal3~0_combout & ((\bin2bcd_0|thousands[2]~1_combout ))) # (!\Equal3~0_combout & (!\Selector1~1_combout )) .dataa(\Selector1~1_combout ), .datab(gnd), .datac(\Equal3~0_combout ), .datad(\bin2bcd_0|thousands[2]~1_combout ), .cin(gnd), .combout(\Selector1~2_combout ), .cout()); // synopsys translate_off defparam \Selector1~2 .lut_mask = 16'hF505; defparam \Selector1~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N0 cycloneive_lcell_comb \Selector2~3 ( // Equation(s): // \Selector2~3_combout = (\bin2bcd_0|shift~98_combout & (!\bin2bcd_0|shift~100_combout & ((!\bin2bcd_0|shift~99_combout ) # (!\bin2bcd_0|shift~101_combout )))) # (!\bin2bcd_0|shift~98_combout & ((\bin2bcd_0|shift~101_combout ) # // ((\bin2bcd_0|shift~100_combout )))) .dataa(\bin2bcd_0|shift~98_combout ), .datab(\bin2bcd_0|shift~101_combout ), .datac(\bin2bcd_0|shift~99_combout ), .datad(\bin2bcd_0|shift~100_combout ), .cin(gnd), .combout(\Selector2~3_combout ), .cout()); // synopsys translate_off defparam \Selector2~3 .lut_mask = 16'h556E; defparam \Selector2~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N8 cycloneive_lcell_comb \bin2bcd_0|hundreds[1]~0 ( // Equation(s): // \bin2bcd_0|hundreds[1]~0_combout = (\bin2bcd_0|shift~91_combout & (\bin2bcd_0|shift~79_combout & ((\bin2bcd_0|shift~90_combout ) # (\bin2bcd_0|shift~89_combout )))) # (!\bin2bcd_0|shift~91_combout & (\bin2bcd_0|shift~90_combout $ // (((!\bin2bcd_0|shift~79_combout ))))) .dataa(\bin2bcd_0|shift~91_combout ), .datab(\bin2bcd_0|shift~90_combout ), .datac(\bin2bcd_0|shift~89_combout ), .datad(\bin2bcd_0|shift~79_combout ), .cin(gnd), .combout(\bin2bcd_0|hundreds[1]~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|hundreds[1]~0 .lut_mask = 16'hEC11; defparam \bin2bcd_0|hundreds[1]~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N2 cycloneive_lcell_comb \Selector2~4 ( // Equation(s): // \Selector2~4_combout = (\Selector2~2_combout & (((!\Equal2~1_combout ) # (!\bin2bcd_0|hundreds[1]~0_combout )))) # (!\Selector2~2_combout & (\Selector2~3_combout & ((\Equal2~1_combout )))) .dataa(\Selector2~3_combout ), .datab(\Selector2~2_combout ), .datac(\bin2bcd_0|hundreds[1]~0_combout ), .datad(\Equal2~1_combout ), .cin(gnd), .combout(\Selector2~4_combout ), .cout()); // synopsys translate_off defparam \Selector2~4 .lut_mask = 16'h2ECC; defparam \Selector2~4 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X15_Y30_N30 cycloneive_lcell_comb \Selector2~6 ( // Equation(s): // \Selector2~6_combout = \Selector2~4_combout $ (((\cntr_updn_14_bit_0|count_out [1]) # ((!digit_seq_ps[2] & digit_seq_ps[0])))) .dataa(digit_seq_ps[2]), .datab(\Selector2~4_combout ), .datac(digit_seq_ps[0]), .datad(\cntr_updn_14_bit_0|count_out [1]), .cin(gnd), .combout(\Selector2~6_combout ), .cout()); // synopsys translate_off defparam \Selector2~6 .lut_mask = 16'h339C; defparam \Selector2~6 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N24 cycloneive_lcell_comb \bin2bcd_0|thousands[1]~0 ( // Equation(s): // \bin2bcd_0|thousands[1]~0_combout = (\bin2bcd_0|shift~110_combout & (((\bin2bcd_0|shift~102_combout )))) # (!\bin2bcd_0|shift~110_combout & ((\bin2bcd_0|shift~106_combout & (\bin2bcd_0|shift~105_combout & \bin2bcd_0|shift~102_combout )) # // (!\bin2bcd_0|shift~106_combout & ((!\bin2bcd_0|shift~102_combout ))))) .dataa(\bin2bcd_0|shift~110_combout ), .datab(\bin2bcd_0|shift~105_combout ), .datac(\bin2bcd_0|shift~106_combout ), .datad(\bin2bcd_0|shift~102_combout ), .cin(gnd), .combout(\bin2bcd_0|thousands[1]~0_combout ), .cout()); // synopsys translate_off defparam \bin2bcd_0|thousands[1]~0 .lut_mask = 16'hEA05; defparam \bin2bcd_0|thousands[1]~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N18 cycloneive_lcell_comb \Selector2~5 ( // Equation(s): // \Selector2~5_combout = (\Equal3~0_combout & ((\bin2bcd_0|thousands[1]~0_combout ))) # (!\Equal3~0_combout & (\Selector2~6_combout )) .dataa(\Selector2~6_combout ), .datab(gnd), .datac(\Equal3~0_combout ), .datad(\bin2bcd_0|thousands[1]~0_combout ), .cin(gnd), .combout(\Selector2~5_combout ), .cout()); // synopsys translate_off defparam \Selector2~5 .lut_mask = 16'hFA0A; defparam \Selector2~5 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N4 cycloneive_lcell_comb \bcd2_7seg_0|WideOr7~0 ( // Equation(s): // \bcd2_7seg_0|WideOr7~0_combout = (\Selector0~3_combout ) # ((\Selector1~2_combout & ((!\Selector2~5_combout ) # (!\Selector3~5_combout ))) # (!\Selector1~2_combout & ((\Selector2~5_combout )))) .dataa(\Selector0~3_combout ), .datab(\Selector3~5_combout ), .datac(\Selector1~2_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|WideOr7~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|WideOr7~0 .lut_mask = 16'hBFFA; defparam \bcd2_7seg_0|WideOr7~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N2 cycloneive_lcell_comb \bcd2_7seg_0|WideOr5~0 ( // Equation(s): // \bcd2_7seg_0|WideOr5~0_combout = (!\Selector0~3_combout & ((\Selector3~5_combout & ((\Selector2~5_combout ) # (!\Selector1~2_combout ))) # (!\Selector3~5_combout & (!\Selector1~2_combout & \Selector2~5_combout )))) .dataa(\Selector0~3_combout ), .datab(\Selector3~5_combout ), .datac(\Selector1~2_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|WideOr5~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|WideOr5~0 .lut_mask = 16'h4504; defparam \bcd2_7seg_0|WideOr5~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N12 cycloneive_lcell_comb \bcd2_7seg_0|WideOr3~0 ( // Equation(s): // \bcd2_7seg_0|WideOr3~0_combout = (\Selector3~5_combout ) # ((\Selector1~2_combout & !\Selector2~5_combout )) .dataa(\Selector1~2_combout ), .datab(gnd), .datac(\Selector3~5_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|WideOr3~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|WideOr3~0 .lut_mask = 16'hF0FA; defparam \bcd2_7seg_0|WideOr3~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N6 cycloneive_lcell_comb \bcd2_7seg_0|WideOr2~0 ( // Equation(s): // \bcd2_7seg_0|WideOr2~0_combout = (!\Selector0~3_combout & ((\Selector3~5_combout & (\Selector1~2_combout $ (!\Selector2~5_combout ))) # (!\Selector3~5_combout & (\Selector1~2_combout & !\Selector2~5_combout )))) .dataa(\Selector0~3_combout ), .datab(\Selector3~5_combout ), .datac(\Selector1~2_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|WideOr2~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|WideOr2~0 .lut_mask = 16'h4014; defparam \bcd2_7seg_0|WideOr2~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N8 cycloneive_lcell_comb \bcd2_7seg_0|Decoder1~0 ( // Equation(s): // \bcd2_7seg_0|Decoder1~0_combout = (!\Selector1~2_combout & (!\Selector3~5_combout & \Selector2~5_combout )) .dataa(\Selector1~2_combout ), .datab(gnd), .datac(\Selector3~5_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|Decoder1~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|Decoder1~0 .lut_mask = 16'h0500; defparam \bcd2_7seg_0|Decoder1~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N14 cycloneive_lcell_comb \bcd2_7seg_0|segment_data[6]~0 ( // Equation(s): // \bcd2_7seg_0|segment_data[6]~0_combout = (\Selector1~2_combout & (\Selector3~5_combout $ (\Selector2~5_combout ))) .dataa(\Selector1~2_combout ), .datab(gnd), .datac(\Selector3~5_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|segment_data[6]~0_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|segment_data[6]~0 .lut_mask = 16'h0AA0; defparam \bcd2_7seg_0|segment_data[6]~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N0 cycloneive_lcell_comb \bcd2_7seg_0|segment_data[7]~1 ( // Equation(s): // \bcd2_7seg_0|segment_data[7]~1_combout = (!\Selector0~3_combout & (!\Selector2~5_combout & (\Selector3~5_combout $ (\Selector1~2_combout )))) .dataa(\Selector0~3_combout ), .datab(\Selector3~5_combout ), .datac(\Selector1~2_combout ), .datad(\Selector2~5_combout ), .cin(gnd), .combout(\bcd2_7seg_0|segment_data[7]~1_combout ), .cout()); // synopsys translate_off defparam \bcd2_7seg_0|segment_data[7]~1 .lut_mask = 16'h0014; defparam \bcd2_7seg_0|segment_data[7]~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y27_N22 cycloneive_lcell_comb \enable3to8~0 ( // Equation(s): // \enable3to8~0_combout = (!\bin2bcd_0|shift~89_combout & ((\bin2bcd_0|shift~91_combout & ((!\bin2bcd_0|shift~79_combout ))) # (!\bin2bcd_0|shift~91_combout & (!\bin2bcd_0|shift~90_combout & \bin2bcd_0|shift~79_combout )))) .dataa(\bin2bcd_0|shift~91_combout ), .datab(\bin2bcd_0|shift~90_combout ), .datac(\bin2bcd_0|shift~89_combout ), .datad(\bin2bcd_0|shift~79_combout ), .cin(gnd), .combout(\enable3to8~0_combout ), .cout()); // synopsys translate_off defparam \enable3to8~0 .lut_mask = 16'h010A; defparam \enable3to8~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N6 cycloneive_lcell_comb \enable3to8~1 ( // Equation(s): // \enable3to8~1_combout = (!\bin2bcd_0|shift~99_combout & ((\bin2bcd_0|shift~98_combout & (!\bin2bcd_0|shift~101_combout & !\bin2bcd_0|shift~100_combout )) # (!\bin2bcd_0|shift~98_combout & (\bin2bcd_0|shift~101_combout )))) .dataa(\bin2bcd_0|shift~98_combout ), .datab(\bin2bcd_0|shift~101_combout ), .datac(\bin2bcd_0|shift~99_combout ), .datad(\bin2bcd_0|shift~100_combout ), .cin(gnd), .combout(\enable3to8~1_combout ), .cout()); // synopsys translate_off defparam \enable3to8~1 .lut_mask = 16'h0406; defparam \enable3to8~1 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N20 cycloneive_lcell_comb \enable3to8~2 ( // Equation(s): // \enable3to8~2_combout = (digit_seq_ps[0] & (\Equal1~0_combout & (\enable3to8~1_combout & \bin2bcd_0|tens[0]~0_combout ))) .dataa(digit_seq_ps[0]), .datab(\Equal1~0_combout ), .datac(\enable3to8~1_combout ), .datad(\bin2bcd_0|tens[0]~0_combout ), .cin(gnd), .combout(\enable3to8~2_combout ), .cout()); // synopsys translate_off defparam \enable3to8~2 .lut_mask = 16'h8000; defparam \enable3to8~2 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N10 cycloneive_lcell_comb \enable3to8~3 ( // Equation(s): // \enable3to8~3_combout = (\enable3to8~0_combout & (!\Selector3~4_combout & ((\Equal2~0_combout ) # (\enable3to8~2_combout )))) .dataa(\Equal2~0_combout ), .datab(\enable3to8~0_combout ), .datac(\Selector3~4_combout ), .datad(\enable3to8~2_combout ), .cin(gnd), .combout(\enable3to8~3_combout ), .cout()); // synopsys translate_off defparam \enable3to8~3 .lut_mask = 16'h0C08; defparam \enable3to8~3 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X19_Y30_N22 cycloneive_lcell_comb \Equal12~0 ( // Equation(s): // \Equal12~0_combout = (!\bin2bcd_0|shift~110_combout & (!\bin2bcd_0|shift~105_combout & (\bin2bcd_0|shift~106_combout $ (\bin2bcd_0|shift~102_combout )))) .dataa(\bin2bcd_0|shift~110_combout ), .datab(\bin2bcd_0|shift~105_combout ), .datac(\bin2bcd_0|shift~106_combout ), .datad(\bin2bcd_0|shift~102_combout ), .cin(gnd), .combout(\Equal12~0_combout ), .cout()); // synopsys translate_off defparam \Equal12~0 .lut_mask = 16'h0110; defparam \Equal12~0 .sum_lutc_input = "datac"; // synopsys translate_on // Location: LCCOMB_X12_Y30_N16 cycloneive_lcell_comb \enable3to8~4 ( // Equation(s): // \enable3to8~4_combout = (!\Selector3~2_combout & (\Equal12~0_combout & ((\enable3to8~3_combout ) # (\Equal3~0_combout )))) .dataa(\enable3to8~3_combout ), .datab(\Equal3~0_combout ), .datac(\Selector3~2_combout ), .datad(\Equal12~0_combout ), .cin(gnd), .combout(\enable3to8~4_combout ), .cout()); // synopsys translate_off defparam \enable3to8~4 .lut_mask = 16'h0E00; defparam \enable3to8~4 .sum_lutc_input = "datac"; // synopsys translate_on // Location: CLKCTRL_G17 cycloneive_clkctrl \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl ( .ena(vcc), .inclk({vcc,vcc,vcc,\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk [2]}), .clkselect(2'b00), .devclrn(devclrn), .devpor(devpor), .outclk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk )); // synopsys translate_off defparam \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl .clock_type = "global clock"; defparam \pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl .ena_register_mode = "none"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N8 cycloneive_lcell_comb \rom_addr[0]~24 ( // Equation(s): // \rom_addr[0]~24_combout = (\cntr_updn_14_bit_0|count_out [0] & (rom_addr[0] $ (VCC))) # (!\cntr_updn_14_bit_0|count_out [0] & (rom_addr[0] & VCC)) // \rom_addr[0]~25 = CARRY((\cntr_updn_14_bit_0|count_out [0] & rom_addr[0])) .dataa(\cntr_updn_14_bit_0|count_out [0]), .datab(rom_addr[0]), .datac(gnd), .datad(vcc), .cin(gnd), .combout(\rom_addr[0]~24_combout ), .cout(\rom_addr[0]~25 )); // synopsys translate_off defparam \rom_addr[0]~24 .lut_mask = 16'h6688; defparam \rom_addr[0]~24 .sum_lutc_input = "datac"; // synopsys translate_on // Location: FF_X18_Y28_N9 dffeas \rom_addr[0] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[0]~24_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[0]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[0] .is_wysiwyg = "true"; defparam \rom_addr[0] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N10 cycloneive_lcell_comb \rom_addr[1]~26 ( // Equation(s): // \rom_addr[1]~26_combout = (\cntr_updn_14_bit_0|count_out [1] & ((rom_addr[1] & (\rom_addr[0]~25 & VCC)) # (!rom_addr[1] & (!\rom_addr[0]~25 )))) # (!\cntr_updn_14_bit_0|count_out [1] & ((rom_addr[1] & (!\rom_addr[0]~25 )) # (!rom_addr[1] & // ((\rom_addr[0]~25 ) # (GND))))) // \rom_addr[1]~27 = CARRY((\cntr_updn_14_bit_0|count_out [1] & (!rom_addr[1] & !\rom_addr[0]~25 )) # (!\cntr_updn_14_bit_0|count_out [1] & ((!\rom_addr[0]~25 ) # (!rom_addr[1])))) .dataa(\cntr_updn_14_bit_0|count_out [1]), .datab(rom_addr[1]), .datac(gnd), .datad(vcc), .cin(\rom_addr[0]~25 ), .combout(\rom_addr[1]~26_combout ), .cout(\rom_addr[1]~27 )); // synopsys translate_off defparam \rom_addr[1]~26 .lut_mask = 16'h9617; defparam \rom_addr[1]~26 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N11 dffeas \rom_addr[1] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[1]~26_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[1]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[1] .is_wysiwyg = "true"; defparam \rom_addr[1] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N12 cycloneive_lcell_comb \rom_addr[2]~28 ( // Equation(s): // \rom_addr[2]~28_combout = ((\cntr_updn_14_bit_0|count_out [2] $ (rom_addr[2] $ (!\rom_addr[1]~27 )))) # (GND) // \rom_addr[2]~29 = CARRY((\cntr_updn_14_bit_0|count_out [2] & ((rom_addr[2]) # (!\rom_addr[1]~27 ))) # (!\cntr_updn_14_bit_0|count_out [2] & (rom_addr[2] & !\rom_addr[1]~27 ))) .dataa(\cntr_updn_14_bit_0|count_out [2]), .datab(rom_addr[2]), .datac(gnd), .datad(vcc), .cin(\rom_addr[1]~27 ), .combout(\rom_addr[2]~28_combout ), .cout(\rom_addr[2]~29 )); // synopsys translate_off defparam \rom_addr[2]~28 .lut_mask = 16'h698E; defparam \rom_addr[2]~28 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N13 dffeas \rom_addr[2] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[2]~28_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[2]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[2] .is_wysiwyg = "true"; defparam \rom_addr[2] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N14 cycloneive_lcell_comb \rom_addr[3]~30 ( // Equation(s): // \rom_addr[3]~30_combout = (\cntr_updn_14_bit_0|count_out [3] & ((rom_addr[3] & (!\rom_addr[2]~29 )) # (!rom_addr[3] & ((\rom_addr[2]~29 ) # (GND))))) # (!\cntr_updn_14_bit_0|count_out [3] & ((rom_addr[3] & (\rom_addr[2]~29 & VCC)) # (!rom_addr[3] & // (!\rom_addr[2]~29 )))) // \rom_addr[3]~31 = CARRY((\cntr_updn_14_bit_0|count_out [3] & ((!\rom_addr[2]~29 ) # (!rom_addr[3]))) # (!\cntr_updn_14_bit_0|count_out [3] & (!rom_addr[3] & !\rom_addr[2]~29 ))) .dataa(\cntr_updn_14_bit_0|count_out [3]), .datab(rom_addr[3]), .datac(gnd), .datad(vcc), .cin(\rom_addr[2]~29 ), .combout(\rom_addr[3]~30_combout ), .cout(\rom_addr[3]~31 )); // synopsys translate_off defparam \rom_addr[3]~30 .lut_mask = 16'h692B; defparam \rom_addr[3]~30 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N15 dffeas \rom_addr[3] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[3]~30_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[3]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[3] .is_wysiwyg = "true"; defparam \rom_addr[3] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N16 cycloneive_lcell_comb \rom_addr[4]~32 ( // Equation(s): // \rom_addr[4]~32_combout = ((\cntr_updn_14_bit_0|count_out [4] $ (rom_addr[4] $ (!\rom_addr[3]~31 )))) # (GND) // \rom_addr[4]~33 = CARRY((\cntr_updn_14_bit_0|count_out [4] & ((rom_addr[4]) # (!\rom_addr[3]~31 ))) # (!\cntr_updn_14_bit_0|count_out [4] & (rom_addr[4] & !\rom_addr[3]~31 ))) .dataa(\cntr_updn_14_bit_0|count_out [4]), .datab(rom_addr[4]), .datac(gnd), .datad(vcc), .cin(\rom_addr[3]~31 ), .combout(\rom_addr[4]~32_combout ), .cout(\rom_addr[4]~33 )); // synopsys translate_off defparam \rom_addr[4]~32 .lut_mask = 16'h698E; defparam \rom_addr[4]~32 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N17 dffeas \rom_addr[4] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[4]~32_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[4]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[4] .is_wysiwyg = "true"; defparam \rom_addr[4] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N18 cycloneive_lcell_comb \rom_addr[5]~34 ( // Equation(s): // \rom_addr[5]~34_combout = (rom_addr[5] & ((\cntr_updn_14_bit_0|count_out [5] & (!\rom_addr[4]~33 )) # (!\cntr_updn_14_bit_0|count_out [5] & (\rom_addr[4]~33 & VCC)))) # (!rom_addr[5] & ((\cntr_updn_14_bit_0|count_out [5] & ((\rom_addr[4]~33 ) # (GND))) // # (!\cntr_updn_14_bit_0|count_out [5] & (!\rom_addr[4]~33 )))) // \rom_addr[5]~35 = CARRY((rom_addr[5] & (\cntr_updn_14_bit_0|count_out [5] & !\rom_addr[4]~33 )) # (!rom_addr[5] & ((\cntr_updn_14_bit_0|count_out [5]) # (!\rom_addr[4]~33 )))) .dataa(rom_addr[5]), .datab(\cntr_updn_14_bit_0|count_out [5]), .datac(gnd), .datad(vcc), .cin(\rom_addr[4]~33 ), .combout(\rom_addr[5]~34_combout ), .cout(\rom_addr[5]~35 )); // synopsys translate_off defparam \rom_addr[5]~34 .lut_mask = 16'h694D; defparam \rom_addr[5]~34 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N19 dffeas \rom_addr[5] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[5]~34_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[5]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[5] .is_wysiwyg = "true"; defparam \rom_addr[5] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N20 cycloneive_lcell_comb \rom_addr[6]~36 ( // Equation(s): // \rom_addr[6]~36_combout = ((rom_addr[6] $ (\cntr_updn_14_bit_0|count_out [6] $ (\rom_addr[5]~35 )))) # (GND) // \rom_addr[6]~37 = CARRY((rom_addr[6] & ((!\rom_addr[5]~35 ) # (!\cntr_updn_14_bit_0|count_out [6]))) # (!rom_addr[6] & (!\cntr_updn_14_bit_0|count_out [6] & !\rom_addr[5]~35 ))) .dataa(rom_addr[6]), .datab(\cntr_updn_14_bit_0|count_out [6]), .datac(gnd), .datad(vcc), .cin(\rom_addr[5]~35 ), .combout(\rom_addr[6]~36_combout ), .cout(\rom_addr[6]~37 )); // synopsys translate_off defparam \rom_addr[6]~36 .lut_mask = 16'h962B; defparam \rom_addr[6]~36 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N21 dffeas \rom_addr[6] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[6]~36_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[6]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[6] .is_wysiwyg = "true"; defparam \rom_addr[6] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N22 cycloneive_lcell_comb \rom_addr[7]~38 ( // Equation(s): // \rom_addr[7]~38_combout = (\cntr_updn_14_bit_0|count_out [7] & ((rom_addr[7] & (!\rom_addr[6]~37 )) # (!rom_addr[7] & ((\rom_addr[6]~37 ) # (GND))))) # (!\cntr_updn_14_bit_0|count_out [7] & ((rom_addr[7] & (\rom_addr[6]~37 & VCC)) # (!rom_addr[7] & // (!\rom_addr[6]~37 )))) // \rom_addr[7]~39 = CARRY((\cntr_updn_14_bit_0|count_out [7] & ((!\rom_addr[6]~37 ) # (!rom_addr[7]))) # (!\cntr_updn_14_bit_0|count_out [7] & (!rom_addr[7] & !\rom_addr[6]~37 ))) .dataa(\cntr_updn_14_bit_0|count_out [7]), .datab(rom_addr[7]), .datac(gnd), .datad(vcc), .cin(\rom_addr[6]~37 ), .combout(\rom_addr[7]~38_combout ), .cout(\rom_addr[7]~39 )); // synopsys translate_off defparam \rom_addr[7]~38 .lut_mask = 16'h692B; defparam \rom_addr[7]~38 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N23 dffeas \rom_addr[7] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[7]~38_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[7]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[7] .is_wysiwyg = "true"; defparam \rom_addr[7] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N24 cycloneive_lcell_comb \rom_addr[8]~40 ( // Equation(s): // \rom_addr[8]~40_combout = ((rom_addr[8] $ (\cntr_updn_14_bit_0|count_out [8] $ (\rom_addr[7]~39 )))) # (GND) // \rom_addr[8]~41 = CARRY((rom_addr[8] & ((!\rom_addr[7]~39 ) # (!\cntr_updn_14_bit_0|count_out [8]))) # (!rom_addr[8] & (!\cntr_updn_14_bit_0|count_out [8] & !\rom_addr[7]~39 ))) .dataa(rom_addr[8]), .datab(\cntr_updn_14_bit_0|count_out [8]), .datac(gnd), .datad(vcc), .cin(\rom_addr[7]~39 ), .combout(\rom_addr[8]~40_combout ), .cout(\rom_addr[8]~41 )); // synopsys translate_off defparam \rom_addr[8]~40 .lut_mask = 16'h962B; defparam \rom_addr[8]~40 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N25 dffeas \rom_addr[8] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[8]~40_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[8]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[8] .is_wysiwyg = "true"; defparam \rom_addr[8] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N26 cycloneive_lcell_comb \rom_addr[9]~42 ( // Equation(s): // \rom_addr[9]~42_combout = (rom_addr[9] & ((\cntr_updn_14_bit_0|count_out [9] & (!\rom_addr[8]~41 )) # (!\cntr_updn_14_bit_0|count_out [9] & (\rom_addr[8]~41 & VCC)))) # (!rom_addr[9] & ((\cntr_updn_14_bit_0|count_out [9] & ((\rom_addr[8]~41 ) # (GND))) // # (!\cntr_updn_14_bit_0|count_out [9] & (!\rom_addr[8]~41 )))) // \rom_addr[9]~43 = CARRY((rom_addr[9] & (\cntr_updn_14_bit_0|count_out [9] & !\rom_addr[8]~41 )) # (!rom_addr[9] & ((\cntr_updn_14_bit_0|count_out [9]) # (!\rom_addr[8]~41 )))) .dataa(rom_addr[9]), .datab(\cntr_updn_14_bit_0|count_out [9]), .datac(gnd), .datad(vcc), .cin(\rom_addr[8]~41 ), .combout(\rom_addr[9]~42_combout ), .cout(\rom_addr[9]~43 )); // synopsys translate_off defparam \rom_addr[9]~42 .lut_mask = 16'h694D; defparam \rom_addr[9]~42 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N27 dffeas \rom_addr[9] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[9]~42_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[9]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[9] .is_wysiwyg = "true"; defparam \rom_addr[9] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N28 cycloneive_lcell_comb \rom_addr[10]~44 ( // Equation(s): // \rom_addr[10]~44_combout = ((\cntr_updn_14_bit_0|count_out [10] $ (rom_addr[10] $ (!\rom_addr[9]~43 )))) # (GND) // \rom_addr[10]~45 = CARRY((\cntr_updn_14_bit_0|count_out [10] & ((rom_addr[10]) # (!\rom_addr[9]~43 ))) # (!\cntr_updn_14_bit_0|count_out [10] & (rom_addr[10] & !\rom_addr[9]~43 ))) .dataa(\cntr_updn_14_bit_0|count_out [10]), .datab(rom_addr[10]), .datac(gnd), .datad(vcc), .cin(\rom_addr[9]~43 ), .combout(\rom_addr[10]~44_combout ), .cout(\rom_addr[10]~45 )); // synopsys translate_off defparam \rom_addr[10]~44 .lut_mask = 16'h698E; defparam \rom_addr[10]~44 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N29 dffeas \rom_addr[10] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[10]~44_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[10]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[10] .is_wysiwyg = "true"; defparam \rom_addr[10] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y28_N30 cycloneive_lcell_comb \rom_addr[11]~46 ( // Equation(s): // \rom_addr[11]~46_combout = (rom_addr[11] & ((\cntr_updn_14_bit_0|count_out [11] & (\rom_addr[10]~45 & VCC)) # (!\cntr_updn_14_bit_0|count_out [11] & (!\rom_addr[10]~45 )))) # (!rom_addr[11] & ((\cntr_updn_14_bit_0|count_out [11] & (!\rom_addr[10]~45 )) // # (!\cntr_updn_14_bit_0|count_out [11] & ((\rom_addr[10]~45 ) # (GND))))) // \rom_addr[11]~47 = CARRY((rom_addr[11] & (!\cntr_updn_14_bit_0|count_out [11] & !\rom_addr[10]~45 )) # (!rom_addr[11] & ((!\rom_addr[10]~45 ) # (!\cntr_updn_14_bit_0|count_out [11])))) .dataa(rom_addr[11]), .datab(\cntr_updn_14_bit_0|count_out [11]), .datac(gnd), .datad(vcc), .cin(\rom_addr[10]~45 ), .combout(\rom_addr[11]~46_combout ), .cout(\rom_addr[11]~47 )); // synopsys translate_off defparam \rom_addr[11]~46 .lut_mask = 16'h9617; defparam \rom_addr[11]~46 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y28_N31 dffeas \rom_addr[11] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[11]~46_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[11]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[11] .is_wysiwyg = "true"; defparam \rom_addr[11] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N0 cycloneive_lcell_comb \rom_addr[12]~48 ( // Equation(s): // \rom_addr[12]~48_combout = ((\cntr_updn_14_bit_0|count_out [12] $ (rom_addr[12] $ (!\rom_addr[11]~47 )))) # (GND) // \rom_addr[12]~49 = CARRY((\cntr_updn_14_bit_0|count_out [12] & ((rom_addr[12]) # (!\rom_addr[11]~47 ))) # (!\cntr_updn_14_bit_0|count_out [12] & (rom_addr[12] & !\rom_addr[11]~47 ))) .dataa(\cntr_updn_14_bit_0|count_out [12]), .datab(rom_addr[12]), .datac(gnd), .datad(vcc), .cin(\rom_addr[11]~47 ), .combout(\rom_addr[12]~48_combout ), .cout(\rom_addr[12]~49 )); // synopsys translate_off defparam \rom_addr[12]~48 .lut_mask = 16'h698E; defparam \rom_addr[12]~48 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N1 dffeas \rom_addr[12] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[12]~48_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[12]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[12] .is_wysiwyg = "true"; defparam \rom_addr[12] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N2 cycloneive_lcell_comb \rom_addr[13]~50 ( // Equation(s): // \rom_addr[13]~50_combout = (\cntr_updn_14_bit_0|count_out [13] & ((rom_addr[13] & (\rom_addr[12]~49 & VCC)) # (!rom_addr[13] & (!\rom_addr[12]~49 )))) # (!\cntr_updn_14_bit_0|count_out [13] & ((rom_addr[13] & (!\rom_addr[12]~49 )) # (!rom_addr[13] & // ((\rom_addr[12]~49 ) # (GND))))) // \rom_addr[13]~51 = CARRY((\cntr_updn_14_bit_0|count_out [13] & (!rom_addr[13] & !\rom_addr[12]~49 )) # (!\cntr_updn_14_bit_0|count_out [13] & ((!\rom_addr[12]~49 ) # (!rom_addr[13])))) .dataa(\cntr_updn_14_bit_0|count_out [13]), .datab(rom_addr[13]), .datac(gnd), .datad(vcc), .cin(\rom_addr[12]~49 ), .combout(\rom_addr[13]~50_combout ), .cout(\rom_addr[13]~51 )); // synopsys translate_off defparam \rom_addr[13]~50 .lut_mask = 16'h9617; defparam \rom_addr[13]~50 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N3 dffeas \rom_addr[13] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[13]~50_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[13]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[13] .is_wysiwyg = "true"; defparam \rom_addr[13] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N4 cycloneive_lcell_comb \rom_addr[14]~52 ( // Equation(s): // \rom_addr[14]~52_combout = (rom_addr[14] & (\rom_addr[13]~51 $ (GND))) # (!rom_addr[14] & (!\rom_addr[13]~51 & VCC)) // \rom_addr[14]~53 = CARRY((rom_addr[14] & !\rom_addr[13]~51 )) .dataa(gnd), .datab(rom_addr[14]), .datac(gnd), .datad(vcc), .cin(\rom_addr[13]~51 ), .combout(\rom_addr[14]~52_combout ), .cout(\rom_addr[14]~53 )); // synopsys translate_off defparam \rom_addr[14]~52 .lut_mask = 16'hC30C; defparam \rom_addr[14]~52 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N5 dffeas \rom_addr[14] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[14]~52_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[14]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[14] .is_wysiwyg = "true"; defparam \rom_addr[14] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N6 cycloneive_lcell_comb \rom_addr[15]~54 ( // Equation(s): // \rom_addr[15]~54_combout = (rom_addr[15] & (!\rom_addr[14]~53 )) # (!rom_addr[15] & ((\rom_addr[14]~53 ) # (GND))) // \rom_addr[15]~55 = CARRY((!\rom_addr[14]~53 ) # (!rom_addr[15])) .dataa(rom_addr[15]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\rom_addr[14]~53 ), .combout(\rom_addr[15]~54_combout ), .cout(\rom_addr[15]~55 )); // synopsys translate_off defparam \rom_addr[15]~54 .lut_mask = 16'h5A5F; defparam \rom_addr[15]~54 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N7 dffeas \rom_addr[15] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[15]~54_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[15]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[15] .is_wysiwyg = "true"; defparam \rom_addr[15] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N8 cycloneive_lcell_comb \rom_addr[16]~56 ( // Equation(s): // \rom_addr[16]~56_combout = (rom_addr[16] & (\rom_addr[15]~55 $ (GND))) # (!rom_addr[16] & (!\rom_addr[15]~55 & VCC)) // \rom_addr[16]~57 = CARRY((rom_addr[16] & !\rom_addr[15]~55 )) .dataa(gnd), .datab(rom_addr[16]), .datac(gnd), .datad(vcc), .cin(\rom_addr[15]~55 ), .combout(\rom_addr[16]~56_combout ), .cout(\rom_addr[16]~57 )); // synopsys translate_off defparam \rom_addr[16]~56 .lut_mask = 16'hC30C; defparam \rom_addr[16]~56 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N9 dffeas \rom_addr[16] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[16]~56_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[16]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[16] .is_wysiwyg = "true"; defparam \rom_addr[16] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N10 cycloneive_lcell_comb \rom_addr[17]~58 ( // Equation(s): // \rom_addr[17]~58_combout = (rom_addr[17] & (!\rom_addr[16]~57 )) # (!rom_addr[17] & ((\rom_addr[16]~57 ) # (GND))) // \rom_addr[17]~59 = CARRY((!\rom_addr[16]~57 ) # (!rom_addr[17])) .dataa(rom_addr[17]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\rom_addr[16]~57 ), .combout(\rom_addr[17]~58_combout ), .cout(\rom_addr[17]~59 )); // synopsys translate_off defparam \rom_addr[17]~58 .lut_mask = 16'h5A5F; defparam \rom_addr[17]~58 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N11 dffeas \rom_addr[17] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[17]~58_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[17]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[17] .is_wysiwyg = "true"; defparam \rom_addr[17] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N12 cycloneive_lcell_comb \rom_addr[18]~60 ( // Equation(s): // \rom_addr[18]~60_combout = (rom_addr[18] & (\rom_addr[17]~59 $ (GND))) # (!rom_addr[18] & (!\rom_addr[17]~59 & VCC)) // \rom_addr[18]~61 = CARRY((rom_addr[18] & !\rom_addr[17]~59 )) .dataa(rom_addr[18]), .datab(gnd), .datac(gnd), .datad(vcc), .cin(\rom_addr[17]~59 ), .combout(\rom_addr[18]~60_combout ), .cout(\rom_addr[18]~61 )); // synopsys translate_off defparam \rom_addr[18]~60 .lut_mask = 16'hA50A; defparam \rom_addr[18]~60 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N13 dffeas \rom_addr[18] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[18]~60_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[18]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[18] .is_wysiwyg = "true"; defparam \rom_addr[18] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N14 cycloneive_lcell_comb \rom_addr[19]~62 ( // Equation(s): // \rom_addr[19]~62_combout = (rom_addr[19] & (!\rom_addr[18]~61 )) # (!rom_addr[19] & ((\rom_addr[18]~61 ) # (GND))) // \rom_addr[19]~63 = CARRY((!\rom_addr[18]~61 ) # (!rom_addr[19])) .dataa(gnd), .datab(rom_addr[19]), .datac(gnd), .datad(vcc), .cin(\rom_addr[18]~61 ), .combout(\rom_addr[19]~62_combout ), .cout(\rom_addr[19]~63 )); // synopsys translate_off defparam \rom_addr[19]~62 .lut_mask = 16'h3C3F; defparam \rom_addr[19]~62 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N15 dffeas \rom_addr[19] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[19]~62_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[19]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[19] .is_wysiwyg = "true"; defparam \rom_addr[19] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N16 cycloneive_lcell_comb \rom_addr[20]~64 ( // Equation(s): // \rom_addr[20]~64_combout = (rom_addr[20] & (\rom_addr[19]~63 $ (GND))) # (!rom_addr[20] & (!\rom_addr[19]~63 & VCC)) // \rom_addr[20]~65 = CARRY((rom_addr[20] & !\rom_addr[19]~63 )) .dataa(gnd), .datab(rom_addr[20]), .datac(gnd), .datad(vcc), .cin(\rom_addr[19]~63 ), .combout(\rom_addr[20]~64_combout ), .cout(\rom_addr[20]~65 )); // synopsys translate_off defparam \rom_addr[20]~64 .lut_mask = 16'hC30C; defparam \rom_addr[20]~64 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N17 dffeas \rom_addr[20] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[20]~64_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[20]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[20] .is_wysiwyg = "true"; defparam \rom_addr[20] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N18 cycloneive_lcell_comb \rom_addr[21]~66 ( // Equation(s): // \rom_addr[21]~66_combout = (rom_addr[21] & (!\rom_addr[20]~65 )) # (!rom_addr[21] & ((\rom_addr[20]~65 ) # (GND))) // \rom_addr[21]~67 = CARRY((!\rom_addr[20]~65 ) # (!rom_addr[21])) .dataa(gnd), .datab(rom_addr[21]), .datac(gnd), .datad(vcc), .cin(\rom_addr[20]~65 ), .combout(\rom_addr[21]~66_combout ), .cout(\rom_addr[21]~67 )); // synopsys translate_off defparam \rom_addr[21]~66 .lut_mask = 16'h3C3F; defparam \rom_addr[21]~66 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N19 dffeas \rom_addr[21] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[21]~66_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[21]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[21] .is_wysiwyg = "true"; defparam \rom_addr[21] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N20 cycloneive_lcell_comb \rom_addr[22]~68 ( // Equation(s): // \rom_addr[22]~68_combout = (rom_addr[22] & (\rom_addr[21]~67 $ (GND))) # (!rom_addr[22] & (!\rom_addr[21]~67 & VCC)) // \rom_addr[22]~69 = CARRY((rom_addr[22] & !\rom_addr[21]~67 )) .dataa(gnd), .datab(rom_addr[22]), .datac(gnd), .datad(vcc), .cin(\rom_addr[21]~67 ), .combout(\rom_addr[22]~68_combout ), .cout(\rom_addr[22]~69 )); // synopsys translate_off defparam \rom_addr[22]~68 .lut_mask = 16'hC30C; defparam \rom_addr[22]~68 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N21 dffeas \rom_addr[22] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[22]~68_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[22]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[22] .is_wysiwyg = "true"; defparam \rom_addr[22] .power_up = "low"; // synopsys translate_on // Location: LCCOMB_X18_Y27_N22 cycloneive_lcell_comb \rom_addr[23]~70 ( // Equation(s): // \rom_addr[23]~70_combout = rom_addr[23] $ (\rom_addr[22]~69 ) .dataa(rom_addr[23]), .datab(gnd), .datac(gnd), .datad(gnd), .cin(\rom_addr[22]~69 ), .combout(\rom_addr[23]~70_combout ), .cout()); // synopsys translate_off defparam \rom_addr[23]~70 .lut_mask = 16'h5A5A; defparam \rom_addr[23]~70 .sum_lutc_input = "cin"; // synopsys translate_on // Location: FF_X18_Y27_N23 dffeas \rom_addr[23] ( .clk(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .d(\rom_addr[23]~70_combout ), .asdata(vcc), .clrn(\reset_n~inputclkctrl_outclk ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .q(rom_addr[23]), .prn(vcc)); // synopsys translate_off defparam \rom_addr[23] .is_wysiwyg = "true"; defparam \rom_addr[23] .power_up = "low"; // synopsys translate_on // Location: M9K_X22_Y31_N0 cycloneive_ram_block \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 ( .portawe(vcc), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(vcc), .portbaddrstall(gnd), .clk0(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .portadatain(4'b0000), .portaaddr({rom_addr[23],rom_addr[22],rom_addr[21],rom_addr[20],rom_addr[19],rom_addr[18],rom_addr[17],rom_addr[16],rom_addr[15],rom_addr[14],rom_addr[13]}), .portabyteenamasks(1'b1), .portbdatain(4'b0000), .portbaddr(11'b00000000000), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus ), .portbdataout()); // synopsys translate_off defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .init_file = "db/lab5.rom0_sine_rom_d6b5acbf.hdl.mif"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .init_file_layout = "port_a"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "sine_rom:sine_rom_0|altsyncram:Ram0_rtl_0|altsyncram_ct61:auto_generated|ALTSYNCRAM"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "rom"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 4; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_with_nbe_read"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_a_write_enable_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 4; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M9K"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .mem_init3 = 2048'hFFEEEDDCCCBBAAA99988777665554443322211000FFFEEDDDCCBBBAAA99888777665554443322211100FFFEEEDDCCCBBBAA9998887776655544433322111000FFFEEEDDCCCBBBAAA99988877766555444333222111000FFFEEEDDDCCCBBBAAA999988877766655544443332221110000FFFEEEDDDDCCCBBBBAAA99998888777666655554443333222211110000FFFFEEEEDDDDCCCCBBBBBAAAA9999888887777766665555544444333332222211111100000FFFFFFEEEEEEDDDDDDCCCCCCCBBBBBBBAAAAAAA999999988888888877777777666666666655555555555444444444444433333333333333333222222222222222222222222222222222222222221; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .mem_init2 = 2048'h22222222222222222222222222222222222222222333333333333333334444444444444555555555556666666666777777778888888889999999AAAAAAABBBBBBBCCCCCCCDDDDDDEEEEEEFFFFFF0000011111122222333334444455555666677777888889999AAAABBBBBCCCCDDDDEEEEFFFF00001111222233334445555666677788889999AAABBBBCCCDDDDEEEFFF000011122233344445556667778889999AAABBBCCCDDDEEEFFF00011122233344455566777888999AAABBBCCCDDEEEFFF0001112233344455566777888999AABBBCCCDDEEEFFF00111222334445556677788899AAABBBCCDDDEEFFF00011222334445556677788999AAABBCCCDDEEEFF0; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .mem_init1 = 2048'h0011122333445556667788899AAABBBCCDDDEEFFF00011222334445556677788899AAABBBCCDDDEEEFF000111223334445566677788899AAABBBCCCDDEEEFFF0001112233344455566677788899AAABBBCCCDDDEEEFFF0001112223334445556666777888999AAABBBBCCCDDDEEEFFFF00011122223334444555666677778889999AAAABBBCCCCDDDDEEEEFFFF0000111122223333444445555666677777888889999AAAAABBBBBCCCCCDDDDDEEEEEEFFFFF0000001111112222223333333444444455555556666666777777777888888889999999999AAAAAAAAAAABBBBBBBBBBBBBCCCCCCCCCCCCCCCCCDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDE; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a0 .mem_init0 = 2048'hDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDCCCCCCCCCCCCCCCCCBBBBBBBBBBBBBAAAAAAAAAAA9999999999888888887777777776666666555555544444443333333222222111111000000FFFFFEEEEEEDDDDDCCCCCBBBBBAAAAA9999888887777766665555444443333222211110000FFFFEEEEDDDDCCCCBBBAAAA99998887777666655544443332222111000FFFFEEEDDDCCCBBBBAAA9998887776666555444333222111000FFFEEEDDDCCCBBBAAA9988877766655544433322111000FFFEEEDDCCCBBBAAA998887776665544433322111000FFEEEDDDCCBBBAAA99888777665554443322211000FFFEEDDDCCBBBAAA99888776665554433322111000; // synopsys translate_on // Location: M9K_X22_Y30_N0 cycloneive_ram_block \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 ( .portawe(vcc), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), .portbre(vcc), .portbaddrstall(gnd), .clk0(\pll_lab5_inst|altpll_component|auto_generated|wire_pll1_clk[2]~clkctrl_outclk ), .clk1(gnd), .ena0(vcc), .ena1(vcc), .ena2(vcc), .ena3(vcc), .clr0(gnd), .clr1(gnd), .portadatain(4'b0000), .portaaddr({rom_addr[23],rom_addr[22],rom_addr[21],rom_addr[20],rom_addr[19],rom_addr[18],rom_addr[17],rom_addr[16],rom_addr[15],rom_addr[14],rom_addr[13]}), .portabyteenamasks(1'b1), .portbdatain(4'b0000), .portbaddr(11'b00000000000), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(\sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4_PORTADATAOUT_bus ), .portbdataout()); // synopsys translate_off defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .init_file = "db/lab5.rom0_sine_rom_d6b5acbf.hdl.mif"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .init_file_layout = "port_a"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "sine_rom:sine_rom_0|altsyncram:Ram0_rtl_0|altsyncram_ct61:auto_generated|ALTSYNCRAM"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .operation_mode = "rom"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 11; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 4; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 2047; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 2048; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_with_nbe_read"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_a_write_enable_clock = "none"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 11; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 4; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M9K"; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .mem_init3 = 2048'h77777777777777777777777777777777777777777666666666666666666666666666666666666666666555555555555555555555555555555555555555555554444444444444444444444444444444444444444444444333333333333333333333333333333333333333333333333333222222222222222222222222222222222222222222222222222222222211111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .mem_init2 = 2048'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111112222222222222222222222222222222222222222222222222222222222333333333333333333333333333333333333333333333333333444444444444444444444444444444444444444444444455555555555555555555555555555555555555555555666666666666666666666666666666666666666666777777777777777777777777777777777777777778; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .mem_init1 = 2048'h88888888888888888888888888888888888888888999999999999999999999999999999999999999999AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF; defparam \sine_rom_0|Ram0_rtl_0|auto_generated|ram_block1a4 .mem_init0 = 2048'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA999999999999999999999999999999999999999999888888888888888888888888888888888888888888; // synopsys translate_on endmodule