Flow report for test1 Tue Apr 12 22:06:13 2016 Quartus Prime Version 15.1.1 Build 189 12/02/2015 SJ Lite Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Flow Summary 3. Flow Settings 4. Flow Non-Default Global Settings 5. Flow Elapsed Time 6. Flow OS Summary 7. Flow Log 8. Flow Messages 9. Flow Suppressed Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2015 Altera Corporation. All rights reserved. Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, the Altera Quartus Prime License Agreement, the Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ ; Flow Status ; Flow Failed - Tue Apr 12 22:06:13 2016 ; ; Quartus Prime Version ; 15.1.1 Build 189 12/02/2015 SJ Lite Edition ; ; Revision Name ; test1 ; ; Top-level Entity Name ; test1 ; ; Family ; Cyclone IV E ; ; Device ; EP4CE22F17C6 ; ; Timing Models ; Final ; ; Total logic elements ; N/A until Partition Merge ; ; Total combinational functions ; N/A until Partition Merge ; ; Dedicated logic registers ; N/A until Partition Merge ; ; Total registers ; N/A until Partition Merge ; ; Total pins ; N/A until Partition Merge ; ; Total virtual pins ; N/A until Partition Merge ; ; Total memory bits ; N/A until Partition Merge ; ; Embedded Multiplier 9-bit elements ; N/A until Partition Merge ; ; Total PLLs ; N/A until Partition Merge ; +------------------------------------+---------------------------------------------+ +-----------------------------------------+ ; Flow Settings ; +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ ; Start date & time ; 04/12/2016 22:06:02 ; ; Main task ; Compilation ; ; Revision Name ; test1 ; +-------------------+---------------------+ +----------------------------------------------------------------------------------------------------------------------+ ; Flow Non-Default Global Settings ; +-------------------------------------+---------------------------------+---------------+-------------+----------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+---------------------------------+---------------+-------------+----------------+ ; COMPILER_SIGNATURE_ID ; 52242604730.146052396213054 ; -- ; -- ; -- ; ; EDA_OUTPUT_DATA_FORMAT ; Systemverilog Hdl ; -- ; -- ; eda_simulation ; ; EDA_SIMULATION_TOOL ; ModelSim-Altera (SystemVerilog) ; ; -- ; -- ; ; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; ; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; ; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +-------------------------------------+---------------------------------+---------------+-------------+----------------+ +--------------------------------------------------------------------------------------------------------------------------+ ; Flow Elapsed Time ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Analysis & Synthesis ; 00:00:11 ; 1.0 ; 1087 MB ; 00:00:17 ; ; Total ; 00:00:11 ; -- ; -- ; 00:00:17 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ +----------------------------------------------------------------------------------------+ ; Flow OS Summary ; +----------------------+------------------+----------------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +----------------------+------------------+----------------+------------+----------------+ ; Analysis & Synthesis ; roger-ubuntu ; Ubuntu 14.04.4 ; 14 ; x86_64 ; +----------------------+------------------+----------------+------------+----------------+ ------------ ; Flow Log ; ------------ quartus_map test1 --source=test1.sv --family="Cyclone IV E"